Fitter report for template Sun May 16 23:32:52 2021 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Fitter Summary 3. Fitter Settings 4. Parallel Compilation 5. Ignored Assignments 6. Incremental Compilation Preservation Summary 7. Incremental Compilation Partition Settings 8. Incremental Compilation Placement Preservation 9. Pin-Out File 10. Fitter Resource Usage Summary 11. Fitter Partition Statistics 12. Input Pins 13. Output Pins 14. Dual Purpose and Dedicated Pins 15. I/O Bank Usage 16. All Package Pins 17. I/O Assignment Warnings 18. Fitter Resource Utilization by Entity 19. Delay Chain Summary 20. Pad To Core Delay Chain Fanout 21. Control Signals 22. Global & Other Fast Signals 23. Routing Usage Summary 24. LAB Logic Elements 25. LAB-wide Signals 26. LAB Signals Sourced 27. LAB Signals Sourced Out 28. LAB Distinct Inputs 29. I/O Rules Summary 30. I/O Rules Details 31. I/O Rules Matrix 32. Fitter Device Options 33. Operating Settings and Conditions 34. Fitter Messages 35. Fitter Suppressed Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 2020 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Intel Program License Subscription Agreement, the Intel Quartus Prime License Agreement, the Intel FPGA IP License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Intel and sold by Intel or its authorized distributors. Please refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+---------------------------------------------+ ; Fitter Status ; Successful - Sun May 16 23:32:52 2021 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; template ; ; Top-level Entity Name ; top ; ; Family ; Cyclone IV E ; ; Device ; EP4CE10E22C8 ; ; Timing Models ; Final ; ; Total logic elements ; 190 / 10,320 ( 2 % ) ; ; Total combinational functions ; 178 / 10,320 ( 2 % ) ; ; Dedicated logic registers ; 122 / 10,320 ( 1 % ) ; ; Total registers ; 122 ; ; Total pins ; 37 / 92 ( 40 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 0 / 423,936 ( 0 % ) ; ; Embedded Multiplier 9-bit elements ; 0 / 46 ( 0 % ) ; ; Total PLLs ; 0 / 2 ( 0 % ) ; +------------------------------------+---------------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Settings ; +--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ ; Option ; Setting ; Default Value ; +--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ ; Device ; EP4CE10E22C8 ; ; ; Nominal Core Supply Voltage ; 1.2V ; ; ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; ; Use smart compilation ; Off ; Off ; ; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; ; Enable compact report table ; Off ; Off ; ; Auto Merge PLLs ; On ; On ; ; Router Timing Optimization Level ; Normal ; Normal ; ; Perform Clocking Topology Analysis During Routing ; Off ; Off ; ; Placement Effort Multiplier ; 1.0 ; 1.0 ; ; Router Effort Multiplier ; 1.0 ; 1.0 ; ; Optimize Hold Timing ; All Paths ; All Paths ; ; Optimize Multi-Corner Timing ; On ; On ; ; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; ; SSN Optimization ; Off ; Off ; ; Optimize Timing ; Normal compilation ; Normal compilation ; ; Optimize Timing for ECOs ; Off ; Off ; ; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; ; Optimize IOC Register Placement for Timing ; Normal ; Normal ; ; Limit to One Fitting Attempt ; Off ; Off ; ; Final Placement Optimizations ; Automatically ; Automatically ; ; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; ; Fitter Initial Placement Seed ; 1 ; 1 ; ; Periphery to Core Placement and Routing Optimization ; Off ; Off ; ; PCI I/O ; Off ; Off ; ; Weak Pull-Up Resistor ; Off ; Off ; ; Enable Bus-Hold Circuitry ; Off ; Off ; ; Auto Packed Registers ; Auto ; Auto ; ; Auto Delay Chains ; On ; On ; ; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; ; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; ; Treat Bidirectional Pin as Output Pin ; Off ; Off ; ; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; ; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; ; Perform Register Duplication for Performance ; Off ; Off ; ; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; ; Perform Register Retiming for Performance ; Off ; Off ; ; Perform Asynchronous Signal Pipelining ; Off ; Off ; ; Fitter Effort ; Auto Fit ; Auto Fit ; ; Physical Synthesis Effort Level ; Normal ; Normal ; ; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; ; Auto Register Duplication ; Auto ; Auto ; ; Auto Global Clock ; On ; On ; ; Auto Global Register Control Signals ; On ; On ; ; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; ; Synchronizer Identification ; Auto ; Auto ; ; Enable Beneficial Skew Optimization ; On ; On ; ; Optimize Design for Metastability ; On ; On ; ; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; +--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +------------------------------------------+ ; Parallel Compilation ; +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.02 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; ; Processor 2 ; 0.8% ; ; Processors 3-4 ; 0.8% ; +----------------------------+-------------+ +----------------------------------------------------------------------------------------+ ; Ignored Assignments ; +----------+----------------+--------------+------------+---------------+----------------+ ; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; +----------+----------------+--------------+------------+---------------+----------------+ ; Location ; ; ; BELL ; PIN_141 ; QSF Assignment ; ; Location ; ; ; CLK_USER ; PIN_24 ; QSF Assignment ; ; Location ; ; ; K[2] ; PIN_90 ; QSF Assignment ; ; Location ; ; ; K[3] ; PIN_91 ; QSF Assignment ; ; Location ; ; ; K[4] ; PIN_87 ; QSF Assignment ; ; Location ; ; ; K[5] ; PIN_86 ; QSF Assignment ; ; Location ; ; ; LCD_D[0] ; PIN_101 ; QSF Assignment ; ; Location ; ; ; LCD_D[1] ; PIN_103 ; QSF Assignment ; ; Location ; ; ; LCD_D[2] ; PIN_104 ; QSF Assignment ; ; Location ; ; ; LCD_D[3] ; PIN_105 ; QSF Assignment ; ; Location ; ; ; LCD_D[4] ; PIN_106 ; QSF Assignment ; ; Location ; ; ; LCD_D[5] ; PIN_110 ; QSF Assignment ; ; Location ; ; ; LCD_D[6] ; PIN_111 ; QSF Assignment ; ; Location ; ; ; LCD_D[7] ; PIN_112 ; QSF Assignment ; ; Location ; ; ; LCD_EN ; PIN_100 ; QSF Assignment ; ; Location ; ; ; LCD_RS ; PIN_85 ; QSF Assignment ; ; Location ; ; ; LCD_WR ; PIN_99 ; QSF Assignment ; ; Location ; ; ; MEM_SCK ; PIN_7 ; QSF Assignment ; ; Location ; ; ; MEM_SDA ; PIN_3 ; QSF Assignment ; ; Location ; ; ; PS_2_DATA ; PIN_10 ; QSF Assignment ; ; Location ; ; ; PS_2_SCK ; PIN_11 ; QSF Assignment ; ; Location ; ; ; UART_RX ; PIN_113 ; QSF Assignment ; ; Location ; ; ; UART_TX ; PIN_114 ; QSF Assignment ; ; Location ; ; ; VGA_B ; PIN_144 ; QSF Assignment ; ; Location ; ; ; VGA_G ; PIN_1 ; QSF Assignment ; ; Location ; ; ; VGA_HS ; PIN_142 ; QSF Assignment ; ; Location ; ; ; VGA_R ; PIN_2 ; QSF Assignment ; ; Location ; ; ; VGA_VS ; PIN_143 ; QSF Assignment ; +----------+----------------+--------------+------------+---------------+----------------+ +--------------------------------------------------------------------------------------------------+ ; Incremental Compilation Preservation Summary ; +---------------------+--------------------+----------------------------+--------------------------+ ; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; +---------------------+--------------------+----------------------------+--------------------------+ ; Placement (by node) ; ; ; ; ; -- Requested ; 0.00 % ( 0 / 388 ) ; 0.00 % ( 0 / 388 ) ; 0.00 % ( 0 / 388 ) ; ; -- Achieved ; 0.00 % ( 0 / 388 ) ; 0.00 % ( 0 / 388 ) ; 0.00 % ( 0 / 388 ) ; ; ; ; ; ; ; Routing (by net) ; ; ; ; ; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +---------------------+--------------------+----------------------------+--------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Incremental Compilation Partition Settings ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +------------------------------------------------------------------------------------------------------------------------------------+ ; Incremental Compilation Placement Preservation ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Top ; 0.00 % ( 0 / 378 ) ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +--------------+ ; Pin-Out File ; +--------------+ The pin-out file can be found in /home/zen/tmp/a-c4e6e10_exemple/template/output_files/template.pin. +--------------------------------------------------------------------+ ; Fitter Resource Usage Summary ; +---------------------------------------------+----------------------+ ; Resource ; Usage ; +---------------------------------------------+----------------------+ ; Total logic elements ; 190 / 10,320 ( 2 % ) ; ; -- Combinational with no register ; 68 ; ; -- Register only ; 12 ; ; -- Combinational with a register ; 110 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 53 ; ; -- 3 input functions ; 10 ; ; -- <=2 input functions ; 115 ; ; -- Register only ; 12 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 86 ; ; -- arithmetic mode ; 92 ; ; ; ; ; Total registers* ; 122 / 10,732 ( 1 % ) ; ; -- Dedicated logic registers ; 122 / 10,320 ( 1 % ) ; ; -- I/O registers ; 0 / 412 ( 0 % ) ; ; ; ; ; Total LABs: partially or completely used ; 17 / 645 ( 3 % ) ; ; Virtual pins ; 0 ; ; I/O pins ; 37 / 92 ( 40 % ) ; ; -- Clock pins ; 1 / 3 ( 33 % ) ; ; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; ; ; ; ; M9Ks ; 0 / 46 ( 0 % ) ; ; Total block memory bits ; 0 / 423,936 ( 0 % ) ; ; Total block memory implementation bits ; 0 / 423,936 ( 0 % ) ; ; Embedded Multiplier 9-bit elements ; 0 / 46 ( 0 % ) ; ; PLLs ; 0 / 2 ( 0 % ) ; ; Global signals ; 3 ; ; -- Global clocks ; 3 / 10 ( 30 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; ; CRC blocks ; 0 / 1 ( 0 % ) ; ; ASMI blocks ; 0 / 1 ( 0 % ) ; ; Oscillator blocks ; 0 / 1 ( 0 % ) ; ; Impedance control blocks ; 0 / 4 ( 0 % ) ; ; Average interconnect usage (total/H/V) ; 0.3% / 0.3% / 0.3% ; ; Peak interconnect usage (total/H/V) ; 0.8% / 0.9% / 0.7% ; ; Maximum fan-out ; 66 ; ; Highest non-global fan-out ; 9 ; ; Total fan-out ; 798 ; ; Average fan-out ; 2.00 ; +---------------------------------------------+----------------------+ * Register count does not include registers inside RAM blocks or DSP blocks. +----------------------------------------------------------------------------------------------------+ ; Fitter Partition Statistics ; +---------------------------------------------+---------------------+--------------------------------+ ; Statistic ; Top ; hard_block:auto_generated_inst ; +---------------------------------------------+---------------------+--------------------------------+ ; Difficulty Clustering Region ; Low ; Low ; ; ; ; ; ; Total logic elements ; 190 / 10320 ( 2 % ) ; 0 / 10320 ( 0 % ) ; ; -- Combinational with no register ; 68 ; 0 ; ; -- Register only ; 12 ; 0 ; ; -- Combinational with a register ; 110 ; 0 ; ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; ; -- 4 input functions ; 53 ; 0 ; ; -- 3 input functions ; 10 ; 0 ; ; -- <=2 input functions ; 115 ; 0 ; ; -- Register only ; 12 ; 0 ; ; ; ; ; ; Logic elements by mode ; ; ; ; -- normal mode ; 86 ; 0 ; ; -- arithmetic mode ; 92 ; 0 ; ; ; ; ; ; Total registers ; 122 ; 0 ; ; -- Dedicated logic registers ; 122 / 10320 ( 1 % ) ; 0 / 10320 ( 0 % ) ; ; -- I/O registers ; 0 ; 0 ; ; ; ; ; ; Total LABs: partially or completely used ; 17 / 645 ( 3 % ) ; 0 / 645 ( 0 % ) ; ; ; ; ; ; Virtual pins ; 0 ; 0 ; ; I/O pins ; 37 ; 0 ; ; Embedded Multiplier 9-bit elements ; 0 / 46 ( 0 % ) ; 0 / 46 ( 0 % ) ; ; Total memory bits ; 0 ; 0 ; ; Total RAM block bits ; 0 ; 0 ; ; Clock control block ; 3 / 12 ( 25 % ) ; 0 / 12 ( 0 % ) ; ; ; ; ; ; Connections ; ; ; ; -- Input Connections ; 0 ; 0 ; ; -- Registered Input Connections ; 0 ; 0 ; ; -- Output Connections ; 0 ; 0 ; ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; ; -- Total Connections ; 793 ; 5 ; ; -- Registered Connections ; 312 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 0 ; 0 ; ; -- hard_block:auto_generated_inst ; 0 ; 0 ; ; ; ; ; ; Partition Interface ; ; ; ; -- Input Ports ; 9 ; 0 ; ; -- Output Ports ; 28 ; 0 ; ; -- Bidir Ports ; 0 ; 0 ; ; ; ; ; ; Registered Ports ; ; ; ; -- Registered Input Ports ; 0 ; 0 ; ; -- Registered Output Ports ; 0 ; 0 ; ; ; ; ; ; Port Connectivity ; ; ; ; -- Input Ports driven by GND ; 0 ; 0 ; ; -- Output Ports driven by GND ; 0 ; 0 ; ; -- Input Ports driven by VCC ; 0 ; 0 ; ; -- Output Ports driven by VCC ; 0 ; 0 ; ; -- Input Ports with no Source ; 0 ; 0 ; ; -- Output Ports with no Source ; 0 ; 0 ; ; -- Input Ports with no Fanout ; 0 ; 0 ; ; -- Output Ports with no Fanout ; 0 ; 0 ; +---------------------------------------------+---------------------+--------------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Input Pins ; +---------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; +---------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ ; CLK_50M ; 23 ; 1 ; 0 ; 11 ; 7 ; 66 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[1] ; 58 ; 4 ; 21 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[2] ; 59 ; 4 ; 23 ; 0 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[3] ; 60 ; 4 ; 23 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[4] ; 64 ; 4 ; 25 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[5] ; 65 ; 4 ; 28 ; 0 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[6] ; 66 ; 4 ; 28 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[7] ; 67 ; 4 ; 30 ; 0 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ; SW[8] ; 68 ; 4 ; 30 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +---------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Output Pins ; +----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; +----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ ; D[10] ; 76 ; 5 ; 34 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[11] ; 75 ; 5 ; 34 ; 3 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[12] ; 71 ; 4 ; 32 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[13] ; 70 ; 4 ; 32 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[14] ; 69 ; 4 ; 30 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[3] ; 72 ; 4 ; 32 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[4] ; 73 ; 5 ; 34 ; 2 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[5] ; 74 ; 5 ; 34 ; 2 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[6] ; 80 ; 5 ; 34 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[7] ; 83 ; 5 ; 34 ; 9 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[8] ; 84 ; 5 ; 34 ; 9 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; D[9] ; 77 ; 5 ; 34 ; 4 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[0] ; 128 ; 8 ; 16 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[1] ; 129 ; 8 ; 16 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[2] ; 132 ; 8 ; 13 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[3] ; 133 ; 8 ; 13 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[4] ; 135 ; 8 ; 11 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[5] ; 136 ; 8 ; 9 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[6] ; 137 ; 8 ; 7 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX[7] ; 138 ; 8 ; 7 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[0] ; 127 ; 7 ; 16 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[1] ; 126 ; 7 ; 16 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[2] ; 125 ; 7 ; 18 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[3] ; 124 ; 7 ; 18 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[4] ; 121 ; 7 ; 23 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[5] ; 120 ; 7 ; 23 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[6] ; 119 ; 7 ; 23 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; HEX_S[7] ; 115 ; 7 ; 28 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +-------------------------------------------------------------------------------------------------------------------------+ ; Dual Purpose and Dedicated Pins ; +----------+-----------------------------+--------------------------+-------------------------+---------------------------+ ; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; +----------+-----------------------------+--------------------------+-------------------------+---------------------------+ ; 6 ; DIFFIO_L1n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; ; 8 ; DIFFIO_L2p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; ; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; ; 12 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; ; 13 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; ; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; ; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; ; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; ; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; ; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; ; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; ; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; ; 101 ; DIFFIO_R3n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; ; 132 ; DIFFIO_T10n, DATA2 ; Use as regular IO ; HEX[2] ; Dual Purpose Pin ; ; 133 ; DIFFIO_T10p, DATA3 ; Use as regular IO ; HEX[3] ; Dual Purpose Pin ; ; 137 ; DATA5 ; Use as regular IO ; HEX[6] ; Dual Purpose Pin ; ; 138 ; DATA6 ; Use as regular IO ; HEX[7] ; Dual Purpose Pin ; +----------+-----------------------------+--------------------------+-------------------------+---------------------------+ +------------------------------------------------------------+ ; I/O Bank Usage ; +----------+------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+------------------+---------------+--------------+ ; 1 ; 5 / 11 ( 45 % ) ; 2.5V ; -- ; ; 2 ; 0 / 8 ( 0 % ) ; 2.5V ; -- ; ; 3 ; 0 / 11 ( 0 % ) ; 2.5V ; -- ; ; 4 ; 12 / 14 ( 86 % ) ; 2.5V ; -- ; ; 5 ; 8 / 13 ( 62 % ) ; 2.5V ; -- ; ; 6 ; 1 / 10 ( 10 % ) ; 2.5V ; -- ; ; 7 ; 8 / 13 ( 62 % ) ; 2.5V ; -- ; ; 8 ; 8 / 12 ( 67 % ) ; 2.5V ; -- ; +----------+------------------+---------------+--------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; All Package Pins ; +----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; +----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; 1 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 3 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 6 ; 5 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; ; 7 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; ; 8 ; 7 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; ; 9 ; 9 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; ; 10 ; 13 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 11 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 12 ; 15 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; ; 13 ; 16 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; ; 14 ; 17 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; ; 15 ; 18 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; ; 16 ; 19 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; ; 17 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 18 ; 20 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 20 ; 21 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; 21 ; 22 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; ; 22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 23 ; 24 ; 1 ; CLK_50M ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 24 ; 25 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 25 ; 26 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 26 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 28 ; 31 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 30 ; 34 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 31 ; 36 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; ; 32 ; 39 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 33 ; 40 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 34 ; 41 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; ; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 38 ; 45 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 39 ; 46 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 40 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 42 ; 52 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 43 ; 53 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 44 ; 54 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 46 ; 58 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; ; 47 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 49 ; 68 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 50 ; 69 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 51 ; 70 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 52 ; 72 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 53 ; 73 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 54 ; 74 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 55 ; 75 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 56 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 58 ; 80 ; 4 ; SW[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 59 ; 83 ; 4 ; SW[2] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 60 ; 84 ; 4 ; SW[3] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 62 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 64 ; 89 ; 4 ; SW[4] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 65 ; 90 ; 4 ; SW[5] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 66 ; 93 ; 4 ; SW[6] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 67 ; 94 ; 4 ; SW[7] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 68 ; 96 ; 4 ; SW[8] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 69 ; 97 ; 4 ; D[14] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 70 ; 98 ; 4 ; D[13] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 71 ; 99 ; 4 ; D[12] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 72 ; 100 ; 4 ; D[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 73 ; 102 ; 5 ; D[4] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 74 ; 103 ; 5 ; D[5] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 75 ; 104 ; 5 ; D[11] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 76 ; 106 ; 5 ; D[10] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 77 ; 107 ; 5 ; D[9] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 80 ; 113 ; 5 ; D[6] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 81 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 83 ; 117 ; 5 ; D[7] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 84 ; 118 ; 5 ; D[8] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; 85 ; 119 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 86 ; 120 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 87 ; 121 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 88 ; 125 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 89 ; 126 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 90 ; 127 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 91 ; 128 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; 92 ; 129 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; ; 93 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 94 ; 130 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; ; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 96 ; 131 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; 97 ; 132 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; ; 97 ; 133 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; ; 98 ; 136 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 99 ; 137 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 100 ; 138 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 101 ; 139 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 103 ; 140 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 104 ; 141 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 105 ; 142 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; ; 106 ; 146 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; ; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 110 ; 152 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 111 ; 154 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 112 ; 155 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 113 ; 156 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 114 ; 157 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 115 ; 158 ; 7 ; HEX_S[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 117 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 119 ; 163 ; 7 ; HEX_S[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 120 ; 164 ; 7 ; HEX_S[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 121 ; 165 ; 7 ; HEX_S[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 122 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 124 ; 173 ; 7 ; HEX_S[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 125 ; 174 ; 7 ; HEX_S[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 126 ; 175 ; 7 ; HEX_S[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 127 ; 176 ; 7 ; HEX_S[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 128 ; 177 ; 8 ; HEX[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 129 ; 178 ; 8 ; HEX[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 130 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 132 ; 181 ; 8 ; HEX[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 133 ; 182 ; 8 ; HEX[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 135 ; 185 ; 8 ; HEX[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 136 ; 187 ; 8 ; HEX[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 137 ; 190 ; 8 ; HEX[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 138 ; 191 ; 8 ; HEX[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 139 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 141 ; 195 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 142 ; 201 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 143 ; 202 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 144 ; 203 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; +----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. +------------------------------------------+ ; I/O Assignment Warnings ; +----------+-------------------------------+ ; Pin Name ; Reason ; +----------+-------------------------------+ ; SW[1] ; Incomplete set of assignments ; ; SW[2] ; Incomplete set of assignments ; ; SW[3] ; Incomplete set of assignments ; ; SW[4] ; Incomplete set of assignments ; ; SW[5] ; Incomplete set of assignments ; ; SW[6] ; Incomplete set of assignments ; ; SW[7] ; Incomplete set of assignments ; ; SW[8] ; Incomplete set of assignments ; ; D[3] ; Incomplete set of assignments ; ; D[4] ; Incomplete set of assignments ; ; D[5] ; Incomplete set of assignments ; ; D[6] ; Incomplete set of assignments ; ; D[7] ; Incomplete set of assignments ; ; D[8] ; Incomplete set of assignments ; ; D[9] ; Incomplete set of assignments ; ; D[10] ; Incomplete set of assignments ; ; D[11] ; Incomplete set of assignments ; ; D[12] ; Incomplete set of assignments ; ; D[13] ; Incomplete set of assignments ; ; D[14] ; Incomplete set of assignments ; ; HEX[0] ; Incomplete set of assignments ; ; HEX[1] ; Incomplete set of assignments ; ; HEX[2] ; Incomplete set of assignments ; ; HEX[3] ; Incomplete set of assignments ; ; HEX[4] ; Incomplete set of assignments ; ; HEX[5] ; Incomplete set of assignments ; ; HEX[6] ; Incomplete set of assignments ; ; HEX[7] ; Incomplete set of assignments ; ; HEX_S[7] ; Incomplete set of assignments ; ; HEX_S[6] ; Incomplete set of assignments ; ; HEX_S[5] ; Incomplete set of assignments ; ; HEX_S[4] ; Incomplete set of assignments ; ; HEX_S[3] ; Incomplete set of assignments ; ; HEX_S[2] ; Incomplete set of assignments ; ; HEX_S[1] ; Incomplete set of assignments ; ; HEX_S[0] ; Incomplete set of assignments ; ; CLK_50M ; Incomplete set of assignments ; +----------+-------------------------------+ +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ ; |top ; 190 (100) ; 122 (77) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 ; 0 ; 68 (23) ; 12 (11) ; 110 (65) ; |top ; top ; work ; ; |seg7x8_dp:my| ; 91 (91) ; 45 (45) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (45) ; 1 (1) ; 45 (45) ; |top|seg7x8_dp:my ; seg7x8_dp ; work ; +----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. +------------------------------------------------------------------------------------------+ ; Delay Chain Summary ; +----------+----------+---------------+---------------+-----------------------+-----+------+ ; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; +----------+----------+---------------+---------------+-----------------------+-----+------+ ; SW[1] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[2] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[3] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[4] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[5] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[6] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[7] ; Input ; -- ; -- ; -- ; -- ; -- ; ; SW[8] ; Input ; -- ; -- ; -- ; -- ; -- ; ; D[3] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[4] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[5] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[6] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[7] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[8] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[9] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[10] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[11] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[12] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[13] ; Output ; -- ; -- ; -- ; -- ; -- ; ; D[14] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[0] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[1] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[2] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[3] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[4] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[5] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[6] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX[7] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[7] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[6] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[5] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[4] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[3] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[2] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[1] ; Output ; -- ; -- ; -- ; -- ; -- ; ; HEX_S[0] ; Output ; -- ; -- ; -- ; -- ; -- ; ; CLK_50M ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +----------+----------+---------------+---------------+-----------------------+-----+------+ +---------------------------------------------------+ ; Pad To Core Delay Chain Fanout ; +---------------------+-------------------+---------+ ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +---------------------+-------------------+---------+ ; SW[1] ; ; ; ; SW[2] ; ; ; ; SW[3] ; ; ; ; SW[4] ; ; ; ; SW[5] ; ; ; ; SW[6] ; ; ; ; SW[7] ; ; ; ; SW[8] ; ; ; ; CLK_50M ; ; ; +---------------------+-------------------+---------+ +--------------------------------------------------------------------------------------------------------------------------------------------------+ ; Control Signals ; +---------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +---------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+ ; CLK_50M ; PIN_23 ; 66 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; ; seg7x8_dp:my|n[3]~9 ; LCCOMB_X13_Y22_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; seg7x8_dp:my|y ; FF_X26_Y14_N9 ; 12 ; Clock ; yes ; Global Clock ; GCLK9 ; -- ; ; y ; FF_X26_Y22_N23 ; 44 ; Clock ; yes ; Global Clock ; GCLK8 ; -- ; +---------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Global & Other Fast Signals ; +----------------+----------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +----------------+----------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ ; CLK_50M ; PIN_23 ; 66 ; 7 ; Global Clock ; GCLK2 ; -- ; ; seg7x8_dp:my|y ; FF_X26_Y14_N9 ; 12 ; 1 ; Global Clock ; GCLK9 ; -- ; ; y ; FF_X26_Y22_N23 ; 44 ; 5 ; Global Clock ; GCLK8 ; -- ; +----------------+----------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +------------------------------------------------+ ; Routing Usage Summary ; +-----------------------+------------------------+ ; Routing Resource Type ; Usage ; +-----------------------+------------------------+ ; Block interconnects ; 198 / 32,401 ( < 1 % ) ; ; C16 interconnects ; 1 / 1,326 ( < 1 % ) ; ; C4 interconnects ; 69 / 21,816 ( < 1 % ) ; ; Direct links ; 94 / 32,401 ( < 1 % ) ; ; Global clocks ; 3 / 10 ( 30 % ) ; ; Local interconnects ; 148 / 10,320 ( 1 % ) ; ; R24 interconnects ; 0 / 1,289 ( 0 % ) ; ; R4 interconnects ; 86 / 28,186 ( < 1 % ) ; +-----------------------+------------------------+ +----------------------------------------------------------------------------+ ; LAB Logic Elements ; +---------------------------------------------+------------------------------+ ; Number of Logic Elements (Average = 11.18) ; Number of LABs (Total = 17) ; +---------------------------------------------+------------------------------+ ; 1 ; 2 ; ; 2 ; 1 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; ; 8 ; 0 ; ; 9 ; 0 ; ; 10 ; 0 ; ; 11 ; 0 ; ; 12 ; 0 ; ; 13 ; 1 ; ; 14 ; 0 ; ; 15 ; 1 ; ; 16 ; 9 ; +---------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ ; LAB-wide Signals (Average = 0.71) ; Number of LABs (Total = 17) ; +------------------------------------+------------------------------+ ; 1 Clock ; 11 ; ; 1 Clock enable ; 1 ; +------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+------------------------------+ ; Number of Signals Sourced (Average = 18.35) ; Number of LABs (Total = 17) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 2 ; ; 2 ; 1 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; ; 8 ; 0 ; ; 9 ; 0 ; ; 10 ; 0 ; ; 11 ; 0 ; ; 12 ; 0 ; ; 13 ; 0 ; ; 14 ; 0 ; ; 15 ; 0 ; ; 16 ; 0 ; ; 17 ; 0 ; ; 18 ; 0 ; ; 19 ; 1 ; ; 20 ; 1 ; ; 21 ; 0 ; ; 22 ; 0 ; ; 23 ; 0 ; ; 24 ; 1 ; ; 25 ; 1 ; ; 26 ; 1 ; ; 27 ; 1 ; ; 28 ; 1 ; ; 29 ; 1 ; ; 30 ; 0 ; ; 31 ; 0 ; ; 32 ; 3 ; +----------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ ; Number of Signals Sourced Out (Average = 9.29) ; Number of LABs (Total = 17) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 3 ; ; 2 ; 2 ; ; 3 ; 0 ; ; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 2 ; ; 8 ; 0 ; ; 9 ; 1 ; ; 10 ; 0 ; ; 11 ; 0 ; ; 12 ; 1 ; ; 13 ; 0 ; ; 14 ; 0 ; ; 15 ; 1 ; ; 16 ; 5 ; ; 17 ; 1 ; +-------------------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +---------------------------------------------+------------------------------+ ; Number of Distinct Inputs (Average = 8.82) ; Number of LABs (Total = 17) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 3 ; ; 2 ; 2 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 1 ; ; 6 ; 1 ; ; 7 ; 1 ; ; 8 ; 2 ; ; 9 ; 0 ; ; 10 ; 0 ; ; 11 ; 1 ; ; 12 ; 1 ; ; 13 ; 0 ; ; 14 ; 0 ; ; 15 ; 0 ; ; 16 ; 0 ; ; 17 ; 0 ; ; 18 ; 0 ; ; 19 ; 0 ; ; 20 ; 1 ; ; 21 ; 0 ; ; 22 ; 0 ; ; 23 ; 0 ; ; 24 ; 1 ; ; 25 ; 0 ; ; 26 ; 0 ; ; 27 ; 0 ; ; 28 ; 0 ; ; 29 ; 0 ; ; 30 ; 0 ; ; 31 ; 0 ; ; 32 ; 0 ; ; 33 ; 0 ; ; 34 ; 0 ; ; 35 ; 1 ; +---------------------------------------------+------------------------------+ +------------------------------------------+ ; I/O Rules Summary ; +----------------------------------+-------+ ; I/O Rules Statistic ; Total ; +----------------------------------+-------+ ; Total I/O Rules ; 30 ; ; Number of I/O Rules Passed ; 12 ; ; Number of I/O Rules Failed ; 0 ; ; Number of I/O Rules Unchecked ; 0 ; ; Number of I/O Rules Inapplicable ; 18 ; +----------------------------------+-------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; I/O Rules Details ; +--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ ; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; +--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ ; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; ; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; ; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; ; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; ; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; ; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; ; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; ; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; ; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; ; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; ; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; ; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; ; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; ; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; ; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; ; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; ; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; ; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; ; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; +--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; I/O Rules Matrix ; +--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ ; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; +--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ ; Total Pass ; 0 ; 37 ; 37 ; 0 ; 0 ; 37 ; 37 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 ; 0 ; 0 ; 0 ; 9 ; 28 ; 0 ; 9 ; 0 ; 0 ; 28 ; 0 ; 37 ; 37 ; 37 ; 0 ; 0 ; ; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; Total Inapplicable ; 37 ; 0 ; 0 ; 37 ; 37 ; 0 ; 0 ; 37 ; 37 ; 37 ; 37 ; 37 ; 37 ; 9 ; 37 ; 37 ; 37 ; 28 ; 9 ; 37 ; 28 ; 37 ; 37 ; 9 ; 37 ; 0 ; 0 ; 0 ; 37 ; 37 ; ; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; SW[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[9] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[10] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[11] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[12] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[13] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; D[14] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; HEX_S[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; CLK_50M ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +---------------------------------------------------------------------------------------------+ ; Fitter Device Options ; +------------------------------------------------------------------+--------------------------+ ; Option ; Setting ; +------------------------------------------------------------------+--------------------------+ ; Enable user-supplied start-up clock (CLKUSR) ; Off ; ; Enable device-wide reset (DEV_CLRn) ; Off ; ; Enable device-wide output enable (DEV_OE) ; Off ; ; Enable INIT_DONE output ; Off ; ; Configuration scheme ; Active Serial ; ; Error detection CRC ; Off ; ; Enable open drain on CRC_ERROR pin ; Off ; ; Enable input tri-state on active configuration pins in user mode ; Off ; ; Configuration Voltage Level ; Auto ; ; Force Configuration Voltage Level ; Off ; ; nCEO ; As output driving ground ; ; Data[0] ; As input tri-stated ; ; Data[1]/ASDO ; As input tri-stated ; ; Data[7..2] ; Unreserved ; ; FLASH_nCE/nCSO ; As input tri-stated ; ; Other Active Parallel pins ; Unreserved ; ; DCLK ; As output driving ground ; +------------------------------------------------------------------+--------------------------+ +------------------------------------+ ; Operating Settings and Conditions ; +---------------------------+--------+ ; Setting ; Value ; +---------------------------+--------+ ; Nominal Core Voltage ; 1.20 V ; ; Low Junction Temperature ; 0 °C ; ; High Junction Temperature ; 85 °C ; +---------------------------+--------+ +-----------------+ ; Fitter Messages ; +-----------------+ Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (119006): Selected device EP4CE10E22C8 for design "template" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices Info (176445): Device EP4CE6E22C8 is compatible Info (176445): Device EP4CE15E22C8 is compatible Info (176445): Device EP4CE22E22C8 is compatible Info (169124): Fitter converted 5 user pins into dedicated programming pins Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6 Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8 Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12 Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 13 Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 101 Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Critical Warning (332012): Synopsys Design Constraints File file not found: 'template.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. Info (176353): Automatically promoted node CLK_50M~input (placed in PIN 23 (CLK1, DIFFCLK_0n)) File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 3 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 Info (176353): Automatically promoted node y File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 18 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176353): Automatically promoted node seg7x8_dp:my|y File: /home/zen/tmp/a-c4e6e10_exemple/template/output_files/seg7x8_dp.v Line: 8 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Warning (15705): Ignored locations or region assignments to the following nodes Warning (15706): Node "BELL" is assigned to location or region, but does not exist in design Warning (15706): Node "CLK_USER" is assigned to location or region, but does not exist in design Warning (15706): Node "K[2]" is assigned to location or region, but does not exist in design Warning (15706): Node "K[3]" is assigned to location or region, but does not exist in design Warning (15706): Node "K[4]" is assigned to location or region, but does not exist in design Warning (15706): Node "K[5]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[0]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[1]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[2]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[3]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[4]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[5]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[6]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_D[7]" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_EN" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_RS" is assigned to location or region, but does not exist in design Warning (15706): Node "LCD_WR" is assigned to location or region, but does not exist in design Warning (15706): Node "MEM_SCK" is assigned to location or region, but does not exist in design Warning (15706): Node "MEM_SDA" is assigned to location or region, but does not exist in design Warning (15706): Node "PS_2_DATA" is assigned to location or region, but does not exist in design Warning (15706): Node "PS_2_SCK" is assigned to location or region, but does not exist in design Warning (15706): Node "UART_RX" is assigned to location or region, but does not exist in design Warning (15706): Node "UART_TX" is assigned to location or region, but does not exist in design Warning (15706): Node "VGA_B" is assigned to location or region, but does not exist in design Warning (15706): Node "VGA_G" is assigned to location or region, but does not exist in design Warning (15706): Node "VGA_HS" is assigned to location or region, but does not exist in design Warning (15706): Node "VGA_R" is assigned to location or region, but does not exist in design Warning (15706): Node "VGA_VS" is assigned to location or region, but does not exist in design Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 0% of the available device resources Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X11_Y12 to location X22_Y24 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 Info (11888): Total time spent on timing analysis during the Fitter is 0.18 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Info (144001): Generated suppressed messages file /home/zen/tmp/a-c4e6e10_exemple/template/output_files/template.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 34 warnings Info: Peak virtual memory: 970 megabytes Info: Processing ended: Sun May 16 23:32:52 2021 Info: Elapsed time: 00:00:05 Info: Total CPU time (on all processors): 00:00:06 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ The suppressed messages can be found in /home/zen/tmp/a-c4e6e10_exemple/template/output_files/template.fit.smsg.