Timing Analyzer report for template Sun May 16 23:32:56 2021 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Timing Analyzer Summary 3. Parallel Compilation 4. Clocks 5. Slow 1200mV 85C Model Fmax Summary 6. Timing Closure Recommendations 7. Slow 1200mV 85C Model Setup Summary 8. Slow 1200mV 85C Model Hold Summary 9. Slow 1200mV 85C Model Recovery Summary 10. Slow 1200mV 85C Model Removal Summary 11. Slow 1200mV 85C Model Minimum Pulse Width Summary 12. Slow 1200mV 85C Model Setup: 'CLK_50M' 13. Slow 1200mV 85C Model Setup: 'seg7x8_dp:my|y' 14. Slow 1200mV 85C Model Setup: 'y' 15. Slow 1200mV 85C Model Hold: 'y' 16. Slow 1200mV 85C Model Hold: 'CLK_50M' 17. Slow 1200mV 85C Model Hold: 'seg7x8_dp:my|y' 18. Slow 1200mV 85C Model Metastability Summary 19. Slow 1200mV 0C Model Fmax Summary 20. Slow 1200mV 0C Model Setup Summary 21. Slow 1200mV 0C Model Hold Summary 22. Slow 1200mV 0C Model Recovery Summary 23. Slow 1200mV 0C Model Removal Summary 24. Slow 1200mV 0C Model Minimum Pulse Width Summary 25. Slow 1200mV 0C Model Setup: 'CLK_50M' 26. Slow 1200mV 0C Model Setup: 'seg7x8_dp:my|y' 27. Slow 1200mV 0C Model Setup: 'y' 28. Slow 1200mV 0C Model Hold: 'y' 29. Slow 1200mV 0C Model Hold: 'CLK_50M' 30. Slow 1200mV 0C Model Hold: 'seg7x8_dp:my|y' 31. Slow 1200mV 0C Model Metastability Summary 32. Fast 1200mV 0C Model Setup Summary 33. Fast 1200mV 0C Model Hold Summary 34. Fast 1200mV 0C Model Recovery Summary 35. Fast 1200mV 0C Model Removal Summary 36. Fast 1200mV 0C Model Minimum Pulse Width Summary 37. Fast 1200mV 0C Model Setup: 'CLK_50M' 38. Fast 1200mV 0C Model Setup: 'seg7x8_dp:my|y' 39. Fast 1200mV 0C Model Setup: 'y' 40. Fast 1200mV 0C Model Hold: 'y' 41. Fast 1200mV 0C Model Hold: 'CLK_50M' 42. Fast 1200mV 0C Model Hold: 'seg7x8_dp:my|y' 43. Fast 1200mV 0C Model Metastability Summary 44. Multicorner Timing Analysis Summary 45. Board Trace Model Assignments 46. Input Transition Times 47. Signal Integrity Metrics (Slow 1200mv 0c Model) 48. Signal Integrity Metrics (Slow 1200mv 85c Model) 49. Signal Integrity Metrics (Fast 1200mv 0c Model) 50. Setup Transfers 51. Hold Transfers 52. Report TCCS 53. Report RSKM 54. Unconstrained Paths Summary 55. Clock Status Summary 56. Unconstrained Output Ports 57. Unconstrained Output Ports 58. Timing Analyzer Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 2020 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Intel Program License Subscription Agreement, the Intel Quartus Prime License Agreement, the Intel FPGA IP License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Intel and sold by Intel or its authorized distributors. Please refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. +-----------------------------------------------------------------------------+ ; Timing Analyzer Summary ; +-----------------------+-----------------------------------------------------+ ; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Timing Analyzer ; Legacy Timing Analyzer ; ; Revision Name ; template ; ; Device Family ; Cyclone IV E ; ; Device Name ; EP4CE10E22C8 ; ; Timing Models ; Final ; ; Delay Model ; Combined ; ; Rise/Fall Delays ; Enabled ; +-----------------------+-----------------------------------------------------+ +------------------------------------------+ ; Parallel Compilation ; +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.04 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; ; Processor 2 ; 2.1% ; ; Processors 3-4 ; 0.9% ; +----------------------------+-------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clocks ; +----------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------------+ ; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; +----------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------------+ ; CLK_50M ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { CLK_50M } ; ; seg7x8_dp:my|y ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { seg7x8_dp:my|y } ; ; y ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { y } ; +----------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------------+ +------------------------------------------------------+ ; Slow 1200mV 85C Model Fmax Summary ; +------------+-----------------+----------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+----------------+------+ ; 219.93 MHz ; 219.93 MHz ; CLK_50M ; ; ; 244.62 MHz ; 244.62 MHz ; seg7x8_dp:my|y ; ; ; 256.48 MHz ; 256.48 MHz ; y ; ; +------------+-----------------+----------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. ---------------------------------- ; Timing Closure Recommendations ; ---------------------------------- HTML report is unavailable in plain text report export. +-----------------------------------------+ ; Slow 1200mV 85C Model Setup Summary ; +----------------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------------+--------+---------------+ ; CLK_50M ; -3.547 ; -155.487 ; ; seg7x8_dp:my|y ; -3.088 ; -17.556 ; ; y ; -2.899 ; -67.661 ; +----------------+--------+---------------+ +----------------------------------------+ ; Slow 1200mV 85C Model Hold Summary ; +----------------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------------+-------+---------------+ ; y ; 0.516 ; 0.000 ; ; CLK_50M ; 0.634 ; 0.000 ; ; seg7x8_dp:my|y ; 0.738 ; 0.000 ; +----------------+-------+---------------+ ------------------------------------------ ; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------ No paths to report. ----------------------------------------- ; Slow 1200mV 85C Model Removal Summary ; ----------------------------------------- No paths to report. +---------------------------------------------------+ ; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------+--------+-------------------------+ ; Clock ; Slack ; End Point TNS ; +----------------+--------+-------------------------+ ; CLK_50M ; -3.000 ; -101.142 ; ; y ; -1.487 ; -65.428 ; ; seg7x8_dp:my|y ; -1.487 ; -17.844 ; +----------------+--------+-------------------------+ +------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Setup: 'CLK_50M' ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; -3.547 ; i[5] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.467 ; ; -3.424 ; i[7] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.344 ; ; -3.364 ; i[4] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.284 ; ; -3.332 ; i[26] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 4.253 ; ; -3.286 ; i[1] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.206 ; ; -3.251 ; i[6] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 4.170 ; ; -3.238 ; i[3] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.158 ; ; -3.217 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 4.139 ; ; -3.208 ; i[23] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 4.129 ; ; -3.183 ; i[10] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.103 ; ; -3.178 ; i[28] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 4.099 ; ; -3.158 ; i[29] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 4.079 ; ; -3.151 ; i[9] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 4.070 ; ; -3.146 ; i[30] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 4.067 ; ; -3.126 ; i[0] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.046 ; ; -3.101 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 4.023 ; ; -3.089 ; i[1] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.009 ; ; -3.089 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 4.009 ; ; -3.071 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.993 ; ; -3.059 ; i[1] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.979 ; ; -3.023 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.942 ; ; -3.018 ; i[1] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.939 ; ; -3.003 ; i[5] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.924 ; ; -3.003 ; i[5] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.924 ; ; -2.999 ; i[2] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.919 ; ; -2.997 ; i[0] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.917 ; ; -2.991 ; i[22] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.912 ; ; -2.985 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.904 ; ; -2.980 ; i[0] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.900 ; ; -2.979 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.898 ; ; -2.976 ; i[2] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.896 ; ; -2.967 ; i[16] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.392 ; ; -2.965 ; i[17] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.390 ; ; -2.961 ; i[18] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.386 ; ; -2.955 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.877 ; ; -2.950 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.869 ; ; -2.943 ; i[1] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.863 ; ; -2.943 ; i[15] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.863 ; ; -2.943 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.863 ; ; -2.941 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.861 ; ; -2.941 ; i[3] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.861 ; ; -2.940 ; i[8] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.860 ; ; -2.939 ; i[21] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.860 ; ; -2.935 ; i[17] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.360 ; ; -2.927 ; i[27] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.848 ; ; -2.926 ; i[0] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.847 ; ; -2.925 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.847 ; ; -2.921 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 1.000 ; 0.393 ; 4.315 ; ; -2.913 ; i[1] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.833 ; ; -2.911 ; i[3] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.831 ; ; -2.895 ; i[6] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.814 ; ; -2.894 ; i[0] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.814 ; ; -2.892 ; i[26] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.814 ; ; -2.891 ; i[26] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.813 ; ; -2.888 ; i[7] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.809 ; ; -2.887 ; i[7] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.808 ; ; -2.871 ; seg7x8_dp:my|i[4] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.793 ; ; -2.870 ; i[3] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.791 ; ; -2.868 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.787 ; ; -2.865 ; i[1] ; i[16] ; CLK_50M ; CLK_50M ; 1.000 ; 0.395 ; 4.261 ; ; -2.863 ; seg7x8_dp:my|i[29] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.574 ; 3.290 ; ; -2.860 ; seg7x8_dp:my|i[13] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.574 ; 3.287 ; ; -2.851 ; i[0] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.771 ; ; -2.846 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.766 ; ; -2.846 ; i[2] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.766 ; ; -2.844 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.763 ; ; -2.834 ; i[0] ; i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.754 ; ; -2.830 ; i[4] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.750 ; ; -2.830 ; i[2] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.750 ; ; -2.829 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.748 ; ; -2.825 ; i[19] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.250 ; ; -2.821 ; i[16] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.246 ; ; -2.820 ; i[4] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.741 ; ; -2.820 ; i[4] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.741 ; ; -2.819 ; i[17] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.244 ; ; -2.815 ; i[18] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.240 ; ; -2.812 ; i[19] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.237 ; ; -2.809 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.731 ; ; -2.798 ; i[17] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.223 ; ; -2.797 ; i[1] ; i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.717 ; ; -2.797 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.717 ; ; -2.796 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.716 ; ; -2.796 ; i[5] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.716 ; ; -2.795 ; i[19] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.220 ; ; -2.795 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.715 ; ; -2.795 ; i[3] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.715 ; ; -2.791 ; i[16] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.216 ; ; -2.789 ; i[14] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.082 ; 3.708 ; ; -2.789 ; i[17] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.214 ; ; -2.785 ; i[18] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.576 ; 3.210 ; ; -2.779 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 1.000 ; -0.079 ; 3.701 ; ; -2.776 ; i[31] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.697 ; ; -2.775 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 1.000 ; 0.393 ; 4.169 ; ; -2.775 ; i[2] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.080 ; 3.696 ; ; -2.773 ; i[0] ; i[16] ; CLK_50M ; CLK_50M ; 1.000 ; 0.395 ; 4.169 ; ; -2.767 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.687 ; ; -2.767 ; i[1] ; i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.687 ; ; -2.766 ; i[5] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.686 ; ; -2.765 ; i[3] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.685 ; ; -2.755 ; i[13] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.081 ; 3.675 ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Setup: 'seg7x8_dp:my|y' ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; -3.088 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 4.008 ; ; -3.087 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 4.007 ; ; -3.070 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.990 ; ; -3.055 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.975 ; ; -3.053 ; number[31] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.162 ; 2.892 ; ; -3.047 ; number[14] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 3.379 ; ; -3.042 ; number[11] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 3.374 ; ; -3.033 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.953 ; ; -3.021 ; number[24] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 3.354 ; ; -2.997 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.917 ; ; -2.966 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.886 ; ; -2.961 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.881 ; ; -2.931 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.851 ; ; -2.908 ; number[15] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 3.240 ; ; -2.869 ; number[19] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 3.202 ; ; -2.869 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.789 ; ; -2.867 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.787 ; ; -2.858 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.778 ; ; -2.857 ; number[4] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 3.189 ; ; -2.852 ; number[18] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 3.185 ; ; -2.849 ; number[20] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 3.182 ; ; -2.830 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.750 ; ; -2.794 ; number[23] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 3.127 ; ; -2.772 ; number[16] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 3.105 ; ; -2.767 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.687 ; ; -2.767 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.687 ; ; -2.767 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.687 ; ; -2.762 ; number[6] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 3.094 ; ; -2.743 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.663 ; ; -2.735 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.655 ; ; -2.732 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.652 ; ; -2.732 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.652 ; ; -2.731 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.651 ; ; -2.731 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.651 ; ; -2.686 ; number[30] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.162 ; 2.525 ; ; -2.686 ; number[12] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 3.018 ; ; -2.671 ; number[28] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.162 ; 2.510 ; ; -2.645 ; number[7] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 2.977 ; ; -2.641 ; number[27] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 2.974 ; ; -2.632 ; number[29] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.686 ; 2.947 ; ; -2.628 ; number[10] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 2.960 ; ; -2.611 ; number[22] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.668 ; 2.944 ; ; -2.601 ; number[8] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 2.933 ; ; -2.597 ; number[5] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.193 ; 3.405 ; ; -2.552 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.472 ; ; -2.552 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.472 ; ; -2.530 ; number[26] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.162 ; 2.369 ; ; -2.403 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.799 ; ; -2.357 ; number[21] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.192 ; 3.166 ; ; -2.340 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.736 ; ; -2.312 ; number[0] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 2.644 ; ; -2.250 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.646 ; ; -2.246 ; number[13] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.193 ; 3.054 ; ; -2.214 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.610 ; ; -2.200 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.596 ; ; -2.165 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.085 ; ; -2.164 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 3.084 ; ; -2.150 ; number[17] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.192 ; 2.959 ; ; -2.119 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.515 ; ; -2.108 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.504 ; ; -2.051 ; number[3] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 2.383 ; ; -2.026 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.395 ; 3.422 ; ; -2.008 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 2.928 ; ; -1.805 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 2.725 ; ; -1.777 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 2.697 ; ; -1.767 ; number[9] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.193 ; 2.575 ; ; -1.766 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 2.686 ; ; -1.724 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 2.644 ; ; -1.581 ; number[25] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.192 ; 2.390 ; ; -1.533 ; number[1] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.193 ; 2.341 ; ; -1.209 ; number[2] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.669 ; 1.541 ; ; -0.630 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.550 ; ; -0.363 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.283 ; ; -0.303 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.223 ; ; -0.259 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.179 ; ; -0.245 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.165 ; ; -0.237 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.157 ; ; -0.228 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.148 ; ; -0.223 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.081 ; 1.143 ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Setup: 'y' ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ ; -2.899 ; number[1] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.818 ; ; -2.799 ; number[2] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.718 ; ; -2.783 ; number[1] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.702 ; ; -2.753 ; number[1] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.672 ; ; -2.747 ; number[1] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 4.140 ; ; -2.717 ; number[1] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 4.110 ; ; -2.700 ; number[0] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.619 ; ; -2.654 ; number[4] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.573 ; ; -2.653 ; number[2] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.572 ; ; -2.652 ; number[6] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.571 ; ; -2.637 ; number[1] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.556 ; ; -2.617 ; number[2] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 4.010 ; ; -2.607 ; number[1] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.526 ; ; -2.601 ; number[1] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.994 ; ; -2.571 ; number[1] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.964 ; ; -2.563 ; number[3] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.482 ; ; -2.554 ; number[0] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.473 ; ; -2.538 ; number[0] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.457 ; ; -2.518 ; number[0] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.911 ; ; -2.508 ; number[4] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.427 ; ; -2.507 ; number[2] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.426 ; ; -2.506 ; number[6] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.425 ; ; -2.502 ; number[0] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.895 ; ; -2.491 ; number[1] ; number[20] ; y ; y ; 1.000 ; -0.082 ; 3.410 ; ; -2.477 ; number[2] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.396 ; ; -2.472 ; number[4] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.865 ; ; -2.471 ; number[2] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.864 ; ; -2.470 ; number[6] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.863 ; ; -2.461 ; number[1] ; number[21] ; y ; y ; 1.000 ; -0.082 ; 3.380 ; ; -2.455 ; number[1] ; number[26] ; y ; y ; 1.000 ; 0.392 ; 3.848 ; ; -2.441 ; number[2] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.834 ; ; -2.418 ; number[5] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.337 ; ; -2.417 ; number[3] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.336 ; ; -2.408 ; number[0] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.327 ; ; -2.392 ; number[0] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.311 ; ; -2.390 ; number[3] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.309 ; ; -2.381 ; number[3] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.774 ; ; -2.372 ; number[0] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.765 ; ; -2.362 ; number[4] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.281 ; ; -2.361 ; number[2] ; number[21] ; y ; y ; 1.000 ; -0.082 ; 3.280 ; ; -2.360 ; number[6] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.279 ; ; -2.356 ; number[0] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.749 ; ; -2.354 ; number[3] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.747 ; ; -2.351 ; number[8] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.270 ; ; -2.345 ; number[1] ; number[18] ; y ; y ; 1.000 ; -0.082 ; 3.264 ; ; -2.332 ; number[4] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.251 ; ; -2.331 ; number[2] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.250 ; ; -2.330 ; number[6] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.249 ; ; -2.326 ; number[4] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.719 ; ; -2.324 ; number[6] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.717 ; ; -2.315 ; number[1] ; number[19] ; y ; y ; 1.000 ; -0.082 ; 3.234 ; ; -2.296 ; number[4] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.689 ; ; -2.295 ; number[2] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.688 ; ; -2.294 ; number[6] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.687 ; ; -2.272 ; number[5] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.191 ; ; -2.271 ; number[3] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.190 ; ; -2.265 ; number[7] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.184 ; ; -2.262 ; number[0] ; number[21] ; y ; y ; 1.000 ; -0.082 ; 3.181 ; ; -2.246 ; number[0] ; number[20] ; y ; y ; 1.000 ; -0.082 ; 3.165 ; ; -2.244 ; number[5] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.163 ; ; -2.244 ; number[3] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.163 ; ; -2.236 ; number[5] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.629 ; ; -2.235 ; number[3] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.628 ; ; -2.216 ; number[10] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.135 ; ; -2.216 ; number[4] ; number[21] ; y ; y ; 1.000 ; -0.082 ; 3.135 ; ; -2.215 ; number[2] ; number[19] ; y ; y ; 1.000 ; -0.082 ; 3.134 ; ; -2.214 ; number[6] ; number[21] ; y ; y ; 1.000 ; -0.082 ; 3.133 ; ; -2.210 ; number[0] ; number[26] ; y ; y ; 1.000 ; 0.392 ; 3.603 ; ; -2.208 ; number[5] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.601 ; ; -2.208 ; number[3] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.601 ; ; -2.205 ; number[8] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.124 ; ; -2.199 ; number[1] ; number[16] ; y ; y ; 1.000 ; -0.082 ; 3.118 ; ; -2.186 ; number[4] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.105 ; ; -2.185 ; number[2] ; number[20] ; y ; y ; 1.000 ; -0.082 ; 3.104 ; ; -2.184 ; number[6] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.103 ; ; -2.169 ; number[1] ; number[17] ; y ; y ; 1.000 ; -0.082 ; 3.088 ; ; -2.169 ; number[8] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.562 ; ; -2.150 ; number[4] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.543 ; ; -2.149 ; number[2] ; number[26] ; y ; y ; 1.000 ; 0.392 ; 3.542 ; ; -2.148 ; number[6] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.541 ; ; -2.126 ; number[5] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 3.045 ; ; -2.125 ; number[3] ; number[21] ; y ; y ; 1.000 ; -0.082 ; 3.044 ; ; -2.119 ; number[7] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 3.038 ; ; -2.118 ; number[9] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 3.037 ; ; -2.116 ; number[0] ; number[19] ; y ; y ; 1.000 ; -0.082 ; 3.035 ; ; -2.102 ; number[7] ; number[24] ; y ; y ; 1.000 ; -0.082 ; 3.021 ; ; -2.100 ; number[0] ; number[18] ; y ; y ; 1.000 ; -0.082 ; 3.019 ; ; -2.098 ; number[5] ; number[22] ; y ; y ; 1.000 ; -0.082 ; 3.017 ; ; -2.098 ; number[3] ; number[20] ; y ; y ; 1.000 ; -0.082 ; 3.017 ; ; -2.090 ; number[5] ; number[29] ; y ; y ; 1.000 ; 0.392 ; 3.483 ; ; -2.083 ; number[7] ; number[31] ; y ; y ; 1.000 ; 0.392 ; 3.476 ; ; -2.070 ; number[12] ; number[27] ; y ; y ; 1.000 ; -0.082 ; 2.989 ; ; -2.070 ; number[10] ; number[25] ; y ; y ; 1.000 ; -0.082 ; 2.989 ; ; -2.070 ; number[4] ; number[19] ; y ; y ; 1.000 ; -0.082 ; 2.989 ; ; -2.069 ; number[2] ; number[17] ; y ; y ; 1.000 ; -0.082 ; 2.988 ; ; -2.068 ; number[6] ; number[19] ; y ; y ; 1.000 ; -0.082 ; 2.987 ; ; -2.066 ; number[7] ; number[30] ; y ; y ; 1.000 ; 0.392 ; 3.459 ; ; -2.062 ; number[5] ; number[28] ; y ; y ; 1.000 ; 0.392 ; 3.455 ; ; -2.062 ; number[3] ; number[26] ; y ; y ; 1.000 ; 0.392 ; 3.455 ; ; -2.059 ; number[8] ; number[23] ; y ; y ; 1.000 ; -0.082 ; 2.978 ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ +-------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Hold: 'y' ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ ; 0.516 ; j[0] ; j[1] ; y ; y ; 0.000 ; 0.081 ; 0.809 ; ; 0.534 ; j[6] ; j[7] ; y ; y ; 0.000 ; 0.081 ; 0.827 ; ; 0.536 ; j[8] ; j[9] ; y ; y ; 0.000 ; 0.081 ; 0.829 ; ; 0.550 ; j[2] ; j[3] ; y ; y ; 0.000 ; 0.081 ; 0.843 ; ; 0.631 ; number[27] ; number[28] ; y ; y ; 0.000 ; 0.575 ; 1.418 ; ; 0.633 ; number[25] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.420 ; ; 0.640 ; number[27] ; number[29] ; y ; y ; 0.000 ; 0.575 ; 1.427 ; ; 0.676 ; j[5] ; j[6] ; y ; y ; 0.000 ; 0.081 ; 0.969 ; ; 0.723 ; j[10] ; j[11] ; y ; y ; 0.000 ; 0.081 ; 1.016 ; ; 0.731 ; j[4] ; j[5] ; y ; y ; 0.000 ; 0.081 ; 1.024 ; ; 0.731 ; j[3] ; j[4] ; y ; y ; 0.000 ; 0.081 ; 1.024 ; ; 0.743 ; number[30] ; number[30] ; y ; y ; 0.000 ; 0.101 ; 1.056 ; ; 0.744 ; number[29] ; number[29] ; y ; y ; 0.000 ; 0.101 ; 1.057 ; ; 0.744 ; number[28] ; number[28] ; y ; y ; 0.000 ; 0.101 ; 1.057 ; ; 0.744 ; number[26] ; number[26] ; y ; y ; 0.000 ; 0.101 ; 1.057 ; ; 0.745 ; number[31] ; number[31] ; y ; y ; 0.000 ; 0.101 ; 1.058 ; ; 0.745 ; number[8] ; number[8] ; y ; y ; 0.000 ; 0.081 ; 1.038 ; ; 0.755 ; number[24] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.542 ; ; 0.761 ; number[16] ; number[16] ; y ; y ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; number[2] ; number[2] ; y ; y ; 0.000 ; 0.081 ; 1.054 ; ; 0.762 ; number[22] ; number[22] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; number[18] ; number[18] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; number[15] ; number[15] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; number[14] ; number[14] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; number[12] ; number[12] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; number[4] ; number[4] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; number[3] ; number[3] ; y ; y ; 0.000 ; 0.081 ; 1.055 ; ; 0.763 ; number[20] ; number[20] ; y ; y ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; number[19] ; number[19] ; y ; y ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; number[13] ; number[13] ; y ; y ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; number[11] ; number[11] ; y ; y ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; number[10] ; number[10] ; y ; y ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; number[5] ; number[5] ; y ; y ; 0.000 ; 0.081 ; 1.056 ; ; 0.764 ; number[27] ; number[27] ; y ; y ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; number[24] ; number[24] ; y ; y ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; number[21] ; number[21] ; y ; y ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; number[17] ; number[17] ; y ; y ; 0.000 ; 0.081 ; 1.057 ; ; 0.765 ; number[9] ; number[9] ; y ; y ; 0.000 ; 0.081 ; 1.058 ; ; 0.765 ; number[7] ; number[7] ; y ; y ; 0.000 ; 0.081 ; 1.058 ; ; 0.766 ; number[25] ; number[25] ; y ; y ; 0.000 ; 0.081 ; 1.059 ; ; 0.766 ; number[23] ; number[23] ; y ; y ; 0.000 ; 0.081 ; 1.059 ; ; 0.771 ; number[27] ; number[30] ; y ; y ; 0.000 ; 0.575 ; 1.558 ; ; 0.773 ; number[25] ; number[28] ; y ; y ; 0.000 ; 0.575 ; 1.560 ; ; 0.773 ; number[23] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.560 ; ; 0.780 ; number[27] ; number[31] ; y ; y ; 0.000 ; 0.575 ; 1.567 ; ; 0.781 ; number[0] ; number[1] ; y ; y ; 0.000 ; 0.081 ; 1.074 ; ; 0.782 ; number[25] ; number[29] ; y ; y ; 0.000 ; 0.575 ; 1.569 ; ; 0.882 ; j[1] ; j[2] ; y ; y ; 0.000 ; 0.081 ; 1.175 ; ; 0.884 ; j[9] ; j[10] ; y ; y ; 0.000 ; 0.081 ; 1.177 ; ; 0.894 ; number[22] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.681 ; ; 0.895 ; number[24] ; number[28] ; y ; y ; 0.000 ; 0.575 ; 1.682 ; ; 0.902 ; j[7] ; j[8] ; y ; y ; 0.000 ; 0.081 ; 1.195 ; ; 0.904 ; number[24] ; number[29] ; y ; y ; 0.000 ; 0.575 ; 1.691 ; ; 0.911 ; number[21] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.698 ; ; 0.913 ; number[25] ; number[30] ; y ; y ; 0.000 ; 0.575 ; 1.700 ; ; 0.913 ; number[23] ; number[28] ; y ; y ; 0.000 ; 0.575 ; 1.700 ; ; 0.917 ; j[1] ; j[0] ; y ; y ; 0.000 ; 0.081 ; 1.210 ; ; 0.922 ; number[25] ; number[31] ; y ; y ; 0.000 ; 0.575 ; 1.709 ; ; 0.922 ; number[23] ; number[29] ; y ; y ; 0.000 ; 0.575 ; 1.709 ; ; 0.941 ; number[6] ; number[6] ; y ; y ; 0.000 ; 0.081 ; 1.234 ; ; 0.997 ; number[1] ; number[1] ; y ; y ; 0.000 ; 0.081 ; 1.290 ; ; 1.034 ; number[20] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.821 ; ; 1.034 ; number[22] ; number[28] ; y ; y ; 0.000 ; 0.575 ; 1.821 ; ; 1.035 ; number[24] ; number[30] ; y ; y ; 0.000 ; 0.575 ; 1.822 ; ; 1.043 ; number[22] ; number[29] ; y ; y ; 0.000 ; 0.575 ; 1.830 ; ; 1.044 ; number[24] ; number[31] ; y ; y ; 0.000 ; 0.575 ; 1.831 ; ; 1.050 ; number[19] ; number[26] ; y ; y ; 0.000 ; 0.575 ; 1.837 ; ; 1.051 ; number[21] ; number[28] ; y ; y ; 0.000 ; 0.575 ; 1.838 ; ; 1.053 ; number[23] ; number[30] ; y ; y ; 0.000 ; 0.575 ; 1.840 ; ; 1.060 ; number[21] ; number[29] ; y ; y ; 0.000 ; 0.575 ; 1.847 ; ; 1.062 ; number[23] ; number[31] ; y ; y ; 0.000 ; 0.575 ; 1.849 ; ; 1.069 ; j[7] ; j[0] ; y ; y ; 0.000 ; 0.081 ; 1.362 ; ; 1.080 ; j[3] ; j[0] ; y ; y ; 0.000 ; 0.081 ; 1.373 ; ; 1.097 ; number[30] ; number[31] ; y ; y ; 0.000 ; 0.101 ; 1.410 ; ; 1.098 ; number[28] ; number[29] ; y ; y ; 0.000 ; 0.101 ; 1.411 ; ; 1.099 ; number[8] ; number[9] ; y ; y ; 0.000 ; 0.081 ; 1.392 ; ; 1.105 ; number[29] ; number[30] ; y ; y ; 0.000 ; 0.101 ; 1.418 ; ; 1.114 ; number[29] ; number[31] ; y ; y ; 0.000 ; 0.101 ; 1.427 ; ; 1.115 ; number[2] ; number[3] ; y ; y ; 0.000 ; 0.081 ; 1.408 ; ; 1.115 ; number[16] ; number[17] ; y ; y ; 0.000 ; 0.081 ; 1.408 ; ; 1.116 ; number[14] ; number[15] ; y ; y ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; number[18] ; number[19] ; y ; y ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; number[12] ; number[13] ; y ; y ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; number[4] ; number[5] ; y ; y ; 0.000 ; 0.081 ; 1.409 ; ; 1.117 ; number[10] ; number[11] ; y ; y ; 0.000 ; 0.081 ; 1.410 ; ; 1.117 ; number[20] ; number[21] ; y ; y ; 0.000 ; 0.081 ; 1.410 ; ; 1.117 ; number[22] ; number[23] ; y ; y ; 0.000 ; 0.081 ; 1.410 ; ; 1.118 ; number[24] ; number[25] ; y ; y ; 0.000 ; 0.081 ; 1.411 ; ; 1.123 ; number[3] ; number[4] ; y ; y ; 0.000 ; 0.081 ; 1.416 ; ; 1.124 ; number[15] ; number[16] ; y ; y ; 0.000 ; 0.080 ; 1.416 ; ; 1.124 ; number[0] ; number[2] ; y ; y ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; number[13] ; number[14] ; y ; y ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; number[11] ; number[12] ; y ; y ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; number[19] ; number[20] ; y ; y ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; number[5] ; number[6] ; y ; y ; 0.000 ; 0.081 ; 1.417 ; ; 1.125 ; number[21] ; number[22] ; y ; y ; 0.000 ; 0.081 ; 1.418 ; ; 1.125 ; number[17] ; number[18] ; y ; y ; 0.000 ; 0.081 ; 1.418 ; ; 1.126 ; number[7] ; number[8] ; y ; y ; 0.000 ; 0.081 ; 1.419 ; ; 1.126 ; number[9] ; number[10] ; y ; y ; 0.000 ; 0.081 ; 1.419 ; ; 1.127 ; number[23] ; number[24] ; y ; y ; 0.000 ; 0.081 ; 1.420 ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ +-----------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Hold: 'CLK_50M' ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; 0.634 ; seg7x8_dp:my|i[30] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.419 ; ; 0.635 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.420 ; ; 0.742 ; seg7x8_dp:my|i[29] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.101 ; 1.055 ; ; 0.743 ; seg7x8_dp:my|i[31] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.101 ; 1.056 ; ; 0.756 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.541 ; ; 0.760 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.053 ; ; 0.760 ; seg7x8_dp:my|i[15] ; seg7x8_dp:my|i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.053 ; ; 0.760 ; i[15] ; i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.053 ; ; 0.760 ; i[13] ; i[13] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.053 ; ; 0.760 ; i[3] ; i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.053 ; ; 0.761 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[19] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; seg7x8_dp:my|i[11] ; seg7x8_dp:my|i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; i[11] ; i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; i[5] ; i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.761 ; i[1] ; i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.054 ; ; 0.762 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.055 ; ; 0.762 ; seg7x8_dp:my|i[17] ; seg7x8_dp:my|i[17] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.055 ; ; 0.763 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[16] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; seg7x8_dp:my|i[7] ; seg7x8_dp:my|i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; seg7x8_dp:my|i[6] ; seg7x8_dp:my|i[6] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; i[29] ; i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.055 ; ; 0.763 ; i[27] ; i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.055 ; ; 0.763 ; i[21] ; i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.055 ; ; 0.763 ; i[7] ; i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.056 ; ; 0.763 ; i[2] ; i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.056 ; ; 0.764 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[18] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; seg7x8_dp:my|i[14] ; seg7x8_dp:my|i[14] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; seg7x8_dp:my|i[12] ; seg7x8_dp:my|i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; i[31] ; i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.056 ; ; 0.764 ; i[12] ; i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.764 ; i[4] ; i[4] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.057 ; ; 0.765 ; seg7x8_dp:my|i[20] ; seg7x8_dp:my|i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.058 ; ; 0.765 ; seg7x8_dp:my|i[30] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.058 ; ; 0.765 ; i[25] ; i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.057 ; ; 0.765 ; i[23] ; i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.057 ; ; 0.765 ; i[22] ; i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.057 ; ; 0.765 ; i[10] ; i[10] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.058 ; ; 0.765 ; i[8] ; i[8] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.058 ; ; 0.766 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.059 ; ; 0.766 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.059 ; ; 0.766 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.059 ; ; 0.766 ; i[30] ; i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.058 ; ; 0.766 ; i[20] ; i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.058 ; ; 0.767 ; i[28] ; i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.059 ; ; 0.767 ; i[26] ; i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.059 ; ; 0.767 ; i[24] ; i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.059 ; ; 0.775 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.560 ; ; 0.775 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.560 ; ; 0.896 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.681 ; ; 0.897 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.682 ; ; 0.915 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.700 ; ; 0.915 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.700 ; ; 1.037 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.822 ; ; 1.037 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.822 ; ; 1.053 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.838 ; ; 1.055 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.573 ; 1.840 ; ; 1.115 ; seg7x8_dp:my|i[15] ; seg7x8_dp:my|i[16] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.408 ; ; 1.115 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.408 ; ; 1.115 ; i[1] ; i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.408 ; ; 1.115 ; i[3] ; i[4] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.408 ; ; 1.116 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[6] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; seg7x8_dp:my|i[17] ; seg7x8_dp:my|i[18] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; seg7x8_dp:my|i[11] ; seg7x8_dp:my|i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; i[11] ; i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.409 ; ; 1.116 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.409 ; ; 1.117 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.410 ; ; 1.117 ; i[7] ; i[8] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.410 ; ; 1.117 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.410 ; ; 1.118 ; i[21] ; i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.410 ; ; 1.118 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.411 ; ; 1.118 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.411 ; ; 1.118 ; i[29] ; i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.410 ; ; 1.118 ; i[27] ; i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.410 ; ; 1.119 ; i[25] ; i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.411 ; ; 1.119 ; i[23] ; i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.411 ; ; 1.124 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; i[2] ; i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[17] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.417 ; ; 1.124 ; seg7x8_dp:my|i[6] ; seg7x8_dp:my|i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.417 ; ; 1.125 ; seg7x8_dp:my|i[14] ; seg7x8_dp:my|i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.418 ; ; 1.125 ; i[12] ; i[13] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.418 ; ; 1.125 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[19] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.418 ; ; 1.125 ; i[4] ; i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.418 ; ; 1.125 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.418 ; ; 1.126 ; i[10] ; i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.419 ; ; 1.126 ; seg7x8_dp:my|i[20] ; seg7x8_dp:my|i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.419 ; ; 1.126 ; i[22] ; i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.418 ; ; 1.127 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.420 ; ; 1.127 ; i[20] ; i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.419 ; ; 1.127 ; i[30] ; i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.419 ; ; 1.127 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.081 ; 1.420 ; ; 1.128 ; i[28] ; i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.420 ; ; 1.128 ; i[26] ; i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.080 ; 1.420 ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Hold: 'seg7x8_dp:my|y' ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; 0.738 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.031 ; ; 0.740 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.033 ; ; 0.745 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.038 ; ; 0.749 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.042 ; ; 0.756 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.049 ; ; 0.798 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 1.588 ; ; 0.803 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.096 ; ; 0.807 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.100 ; ; 0.810 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.103 ; ; 1.008 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 1.302 ; ; 1.009 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 1.303 ; ; 1.172 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 1.465 ; ; 1.523 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.313 ; ; 1.548 ; number[2] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.334 ; 1.446 ; ; 1.772 ; number[1] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.163 ; 2.167 ; ; 1.799 ; number[25] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.164 ; 2.195 ; ; 1.916 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.706 ; ; 1.971 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.265 ; ; 1.986 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.776 ; ; 1.990 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.780 ; ; 1.998 ; number[9] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.163 ; 2.393 ; ; 2.060 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.850 ; ; 2.080 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.870 ; ; 2.085 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.378 ; ; 2.133 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.578 ; 2.923 ; ; 2.177 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.470 ; ; 2.189 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.482 ; ; 2.196 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.490 ; ; 2.224 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.517 ; ; 2.250 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.543 ; ; 2.260 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.553 ; ; 2.265 ; number[3] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.164 ; ; 2.334 ; number[17] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.164 ; 2.730 ; ; 2.373 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.667 ; ; 2.405 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.698 ; ; 2.412 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.705 ; ; 2.427 ; number[13] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.163 ; 2.822 ; ; 2.433 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.727 ; ; 2.436 ; number[0] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.335 ; ; 2.467 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.760 ; ; 2.498 ; number[21] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.164 ; 2.894 ; ; 2.511 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.805 ; ; 2.526 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.820 ; ; 2.526 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.820 ; ; 2.596 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.890 ; ; 2.596 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.890 ; ; 2.648 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.942 ; ; 2.660 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 2.954 ; ; 2.673 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.966 ; ; 2.688 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 2.981 ; ; 2.709 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 3.002 ; ; 2.735 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 3.028 ; ; 2.744 ; number[5] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.163 ; 3.139 ; ; 2.752 ; number[26] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.807 ; 2.177 ; ; 2.753 ; number[29] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.310 ; 2.675 ; ; 2.765 ; number[22] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.664 ; ; 2.785 ; number[27] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.332 ; 2.685 ; ; 2.817 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.081 ; 3.110 ; ; 2.844 ; number[30] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.807 ; 2.269 ; ; 2.846 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 3.140 ; ; 2.849 ; number[8] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.748 ; ; 2.862 ; number[10] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.334 ; 2.760 ; ; 2.870 ; number[12] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.769 ; ; 2.880 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 3.174 ; ; 2.880 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.082 ; 3.174 ; ; 2.894 ; number[7] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.793 ; ; 2.918 ; number[28] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.806 ; 2.344 ; ; 2.932 ; number[6] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.334 ; 2.830 ; ; 2.982 ; number[16] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.332 ; 2.882 ; ; 3.017 ; number[23] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.332 ; 2.917 ; ; 3.024 ; number[18] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.923 ; ; 3.040 ; number[15] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.939 ; ; 3.050 ; number[20] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.332 ; 2.950 ; ; 3.065 ; number[19] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.332 ; 2.965 ; ; 3.086 ; number[4] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 2.985 ; ; 3.097 ; number[24] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.332 ; 2.997 ; ; 3.166 ; number[14] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.334 ; 3.064 ; ; 3.187 ; number[11] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.333 ; 3.086 ; ; 3.262 ; number[31] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.806 ; 2.688 ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ----------------------------------------------- ; Slow 1200mV 85C Model Metastability Summary ; ----------------------------------------------- No synchronizer chains to report. +------------------------------------------------------+ ; Slow 1200mV 0C Model Fmax Summary ; +------------+-----------------+----------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+----------------+------+ ; 238.04 MHz ; 238.04 MHz ; CLK_50M ; ; ; 260.69 MHz ; 260.69 MHz ; seg7x8_dp:my|y ; ; ; 286.53 MHz ; 286.53 MHz ; y ; ; +------------+-----------------+----------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. +-----------------------------------------+ ; Slow 1200mV 0C Model Setup Summary ; +----------------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------------+--------+---------------+ ; CLK_50M ; -3.201 ; -133.733 ; ; seg7x8_dp:my|y ; -2.838 ; -15.657 ; ; y ; -2.490 ; -57.440 ; +----------------+--------+---------------+ +----------------------------------------+ ; Slow 1200mV 0C Model Hold Summary ; +----------------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------------+-------+---------------+ ; y ; 0.477 ; 0.000 ; ; CLK_50M ; 0.566 ; 0.000 ; ; seg7x8_dp:my|y ; 0.676 ; 0.000 ; +----------------+-------+---------------+ ----------------------------------------- ; Slow 1200mV 0C Model Recovery Summary ; ----------------------------------------- No paths to report. ---------------------------------------- ; Slow 1200mV 0C Model Removal Summary ; ---------------------------------------- No paths to report. +--------------------------------------------------+ ; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +----------------+--------+------------------------+ ; Clock ; Slack ; End Point TNS ; +----------------+--------+------------------------+ ; CLK_50M ; -3.000 ; -101.142 ; ; y ; -1.487 ; -65.428 ; ; seg7x8_dp:my|y ; -1.487 ; -17.844 ; +----------------+--------+------------------------+ +------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Setup: 'CLK_50M' ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; -3.201 ; i[5] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 4.130 ; ; -3.072 ; i[7] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 4.001 ; ; -3.025 ; i[4] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.954 ; ; -3.001 ; i[26] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.931 ; ; -2.972 ; i[1] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.901 ; ; -2.919 ; i[3] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.848 ; ; -2.902 ; i[23] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.832 ; ; -2.879 ; i[10] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.808 ; ; -2.875 ; i[6] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.803 ; ; -2.874 ; i[28] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.804 ; ; -2.843 ; i[29] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.773 ; ; -2.833 ; i[30] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.763 ; ; -2.787 ; i[9] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.715 ; ; -2.762 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.690 ; ; -2.711 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.642 ; ; -2.699 ; i[22] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.629 ; ; -2.695 ; i[2] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.624 ; ; -2.686 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.617 ; ; -2.678 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.609 ; ; -2.676 ; i[5] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.606 ; ; -2.675 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.603 ; ; -2.674 ; i[5] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.604 ; ; -2.657 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.588 ; ; -2.656 ; i[0] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.584 ; ; -2.656 ; i[21] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.586 ; ; -2.653 ; i[8] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.582 ; ; -2.651 ; i[15] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.580 ; ; -2.647 ; i[1] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.577 ; ; -2.647 ; i[27] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.577 ; ; -2.636 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.564 ; ; -2.636 ; i[18] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 3.096 ; ; -2.634 ; i[16] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 3.094 ; ; -2.628 ; i[26] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.559 ; ; -2.626 ; i[26] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.557 ; ; -2.606 ; i[7] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.536 ; ; -2.604 ; i[1] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.532 ; ; -2.604 ; i[7] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.534 ; ; -2.602 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.532 ; ; -2.600 ; i[0] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.529 ; ; -2.600 ; i[17] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 3.060 ; ; -2.593 ; seg7x8_dp:my|i[29] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.534 ; 3.061 ; ; -2.565 ; i[1] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.493 ; ; -2.562 ; i[0] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.492 ; ; -2.549 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.477 ; ; -2.547 ; i[17] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 3.007 ; ; -2.546 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.477 ; ; -2.539 ; i[4] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.469 ; ; -2.538 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.469 ; ; -2.537 ; i[4] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.467 ; ; -2.530 ; i[0] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.458 ; ; -2.527 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.458 ; ; -2.526 ; i[2] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.454 ; ; -2.519 ; i[0] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.447 ; ; -2.518 ; i[3] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.448 ; ; -2.511 ; i[1] ; i[16] ; CLK_50M ; CLK_50M ; 1.000 ; 0.377 ; 3.890 ; ; -2.510 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.438 ; ; -2.510 ; i[31] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.440 ; ; -2.510 ; i[18] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.970 ; ; -2.508 ; i[16] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.968 ; ; -2.505 ; i[19] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.541 ; 2.966 ; ; -2.501 ; i[28] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.432 ; ; -2.499 ; i[28] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.430 ; ; -2.494 ; i[17] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.541 ; 2.955 ; ; -2.486 ; i[6] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.075 ; 3.413 ; ; -2.482 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 1.000 ; 0.371 ; 3.855 ; ; -2.481 ; i[13] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.410 ; ; -2.478 ; i[1] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.406 ; ; -2.478 ; i[19] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.938 ; ; -2.476 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.406 ; ; -2.475 ; i[3] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.403 ; ; -2.474 ; i[17] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.934 ; ; -2.473 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.403 ; ; -2.471 ; i[18] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.931 ; ; -2.470 ; i[11] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.399 ; ; -2.470 ; i[29] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.401 ; ; -2.469 ; i[16] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.929 ; ; -2.468 ; i[29] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.399 ; ; -2.463 ; i[14] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.391 ; ; -2.460 ; i[30] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.391 ; ; -2.458 ; i[30] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.389 ; ; -2.452 ; seg7x8_dp:my|i[13] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.912 ; ; -2.447 ; i[23] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.378 ; ; -2.445 ; i[23] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.376 ; ; -2.444 ; seg7x8_dp:my|i[4] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.372 ; ; -2.439 ; i[1] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.367 ; ; -2.438 ; seg7x8_dp:my|i[31] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.534 ; 2.906 ; ; -2.436 ; i[3] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.364 ; ; -2.432 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[10] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.362 ; ; -2.431 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|y ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.362 ; ; -2.431 ; i[2] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.361 ; ; -2.430 ; i[1] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.360 ; ; -2.430 ; i[1] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.072 ; 3.360 ; ; -2.426 ; i[19] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.886 ; ; -2.426 ; i[0] ; i[16] ; CLK_50M ; CLK_50M ; 1.000 ; 0.377 ; 3.805 ; ; -2.423 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 1.000 ; -0.074 ; 3.351 ; ; -2.423 ; i[6] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.352 ; ; -2.421 ; i[6] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.073 ; 3.350 ; ; -2.421 ; i[17] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.542 ; 2.881 ; ; -2.420 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[8] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.351 ; ; -2.419 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[4] ; CLK_50M ; CLK_50M ; 1.000 ; -0.071 ; 3.350 ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Setup: 'seg7x8_dp:my|y' ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; -2.838 ; number[31] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.095 ; 2.745 ; ; -2.836 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.766 ; ; -2.833 ; number[11] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 3.201 ; ; -2.831 ; number[14] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.635 ; 3.198 ; ; -2.817 ; number[24] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.631 ; 3.188 ; ; -2.815 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.745 ; ; -2.774 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.703 ; ; -2.773 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.702 ; ; -2.772 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.702 ; ; -2.759 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.689 ; ; -2.699 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.629 ; ; -2.674 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.603 ; ; -2.665 ; number[19] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.631 ; 3.036 ; ; -2.660 ; number[18] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.632 ; 3.030 ; ; -2.651 ; number[4] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 3.019 ; ; -2.644 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.573 ; ; -2.639 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.568 ; ; -2.630 ; number[15] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 2.998 ; ; -2.625 ; number[20] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.631 ; 2.996 ; ; -2.622 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.552 ; ; -2.611 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.541 ; ; -2.606 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.536 ; ; -2.584 ; number[16] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.631 ; 2.955 ; ; -2.581 ; number[23] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.631 ; 2.952 ; ; -2.570 ; number[6] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.635 ; 2.937 ; ; -2.502 ; number[12] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 2.870 ; ; -2.495 ; number[30] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.096 ; 2.401 ; ; -2.494 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.423 ; ; -2.477 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.407 ; ; -2.474 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.403 ; ; -2.472 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.402 ; ; -2.467 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 3.396 ; ; -2.464 ; number[27] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.631 ; 2.835 ; ; -2.463 ; number[28] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.095 ; 2.370 ; ; -2.462 ; number[29] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.645 ; 2.819 ; ; -2.458 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.388 ; ; -2.458 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.388 ; ; -2.436 ; number[7] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 2.804 ; ; -2.426 ; number[22] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.632 ; 2.796 ; ; -2.423 ; number[10] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.635 ; 2.790 ; ; -2.423 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.353 ; ; -2.423 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.353 ; ; -2.410 ; number[5] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.184 ; 3.228 ; ; -2.373 ; number[8] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 2.741 ; ; -2.346 ; number[26] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -1.096 ; 2.252 ; ; -2.266 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.196 ; ; -2.266 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.072 ; 3.196 ; ; -2.174 ; number[21] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.181 ; 2.995 ; ; -2.174 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.554 ; ; -2.162 ; number[0] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 2.530 ; ; -2.073 ; number[13] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.184 ; 2.891 ; ; -2.045 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.425 ; ; -2.037 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.417 ; ; -1.987 ; number[17] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.181 ; 2.808 ; ; -1.964 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.344 ; ; -1.959 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.888 ; ; -1.958 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.887 ; ; -1.929 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.309 ; ; -1.900 ; number[3] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.634 ; 2.268 ; ; -1.892 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.272 ; ; -1.887 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.267 ; ; -1.829 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.758 ; ; -1.772 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.378 ; 3.152 ; ; -1.659 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.588 ; ; -1.618 ; number[9] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.184 ; 2.436 ; ; -1.576 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.505 ; ; -1.571 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.500 ; ; -1.527 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 2.456 ; ; -1.446 ; number[25] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.181 ; 2.267 ; ; -1.418 ; number[1] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.184 ; 2.236 ; ; -1.085 ; number[2] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.635 ; 1.452 ; ; -0.548 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.477 ; ; -0.225 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.154 ; ; -0.174 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.103 ; ; -0.151 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.080 ; ; -0.135 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.064 ; ; -0.132 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.061 ; ; -0.121 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.050 ; ; -0.117 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.073 ; 1.046 ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Setup: 'y' ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ ; -2.490 ; number[1] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 3.416 ; ; -2.403 ; number[1] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 3.329 ; ; -2.364 ; number[1] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 3.290 ; ; -2.355 ; number[2] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 3.281 ; ; -2.335 ; number[1] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.707 ; ; -2.296 ; number[1] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.668 ; ; -2.277 ; number[1] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 3.203 ; ; -2.264 ; number[0] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 3.190 ; ; -2.238 ; number[1] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 3.164 ; ; -2.229 ; number[6] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 3.155 ; ; -2.229 ; number[4] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 3.155 ; ; -2.229 ; number[2] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 3.155 ; ; -2.209 ; number[1] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.581 ; ; -2.170 ; number[1] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.542 ; ; -2.161 ; number[2] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.533 ; ; -2.151 ; number[1] ; number[20] ; y ; y ; 1.000 ; -0.076 ; 3.077 ; ; -2.149 ; number[0] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 3.075 ; ; -2.146 ; number[3] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 3.072 ; ; -2.138 ; number[0] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 3.064 ; ; -2.112 ; number[1] ; number[21] ; y ; y ; 1.000 ; -0.076 ; 3.038 ; ; -2.103 ; number[6] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 3.029 ; ; -2.103 ; number[4] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 3.029 ; ; -2.103 ; number[2] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 3.029 ; ; -2.083 ; number[1] ; number[26] ; y ; y ; 1.000 ; 0.370 ; 3.455 ; ; -2.081 ; number[0] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.453 ; ; -2.070 ; number[0] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.442 ; ; -2.064 ; number[2] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 2.990 ; ; -2.035 ; number[6] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.407 ; ; -2.035 ; number[4] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.407 ; ; -2.035 ; number[2] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.407 ; ; -2.025 ; number[1] ; number[18] ; y ; y ; 1.000 ; -0.076 ; 2.951 ; ; -2.023 ; number[0] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 2.949 ; ; -2.021 ; number[5] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 2.947 ; ; -2.020 ; number[3] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 2.946 ; ; -2.020 ; number[3] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 2.946 ; ; -2.012 ; number[0] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 2.938 ; ; -1.996 ; number[2] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.368 ; ; -1.986 ; number[1] ; number[19] ; y ; y ; 1.000 ; -0.076 ; 2.912 ; ; -1.977 ; number[6] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 2.903 ; ; -1.977 ; number[4] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 2.903 ; ; -1.977 ; number[2] ; number[21] ; y ; y ; 1.000 ; -0.076 ; 2.903 ; ; -1.967 ; number[8] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 2.893 ; ; -1.955 ; number[0] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.327 ; ; -1.952 ; number[3] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.324 ; ; -1.952 ; number[3] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.324 ; ; -1.944 ; number[0] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.316 ; ; -1.938 ; number[6] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 2.864 ; ; -1.938 ; number[4] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 2.864 ; ; -1.938 ; number[2] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 2.864 ; ; -1.909 ; number[6] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.281 ; ; -1.909 ; number[4] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.281 ; ; -1.899 ; number[1] ; number[16] ; y ; y ; 1.000 ; -0.076 ; 2.825 ; ; -1.897 ; number[0] ; number[20] ; y ; y ; 1.000 ; -0.076 ; 2.823 ; ; -1.895 ; number[5] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 2.821 ; ; -1.894 ; number[5] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 2.820 ; ; -1.894 ; number[3] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 2.820 ; ; -1.894 ; number[3] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 2.820 ; ; -1.888 ; number[7] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 2.814 ; ; -1.886 ; number[0] ; number[21] ; y ; y ; 1.000 ; -0.076 ; 2.812 ; ; -1.870 ; number[6] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.242 ; ; -1.870 ; number[4] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.242 ; ; -1.870 ; number[2] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.242 ; ; -1.860 ; number[1] ; number[17] ; y ; y ; 1.000 ; -0.076 ; 2.786 ; ; -1.852 ; number[10] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 2.778 ; ; -1.851 ; number[6] ; number[21] ; y ; y ; 1.000 ; -0.076 ; 2.777 ; ; -1.851 ; number[4] ; number[21] ; y ; y ; 1.000 ; -0.076 ; 2.777 ; ; -1.851 ; number[2] ; number[19] ; y ; y ; 1.000 ; -0.076 ; 2.777 ; ; -1.841 ; number[8] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 2.767 ; ; -1.829 ; number[0] ; number[26] ; y ; y ; 1.000 ; 0.370 ; 3.201 ; ; -1.827 ; number[5] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.199 ; ; -1.826 ; number[5] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.198 ; ; -1.826 ; number[3] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.198 ; ; -1.826 ; number[3] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.198 ; ; -1.812 ; number[6] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 2.738 ; ; -1.812 ; number[4] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 2.738 ; ; -1.812 ; number[2] ; number[20] ; y ; y ; 1.000 ; -0.076 ; 2.738 ; ; -1.773 ; number[7] ; number[24] ; y ; y ; 1.000 ; -0.076 ; 2.699 ; ; -1.773 ; number[8] ; number[31] ; y ; y ; 1.000 ; 0.370 ; 3.145 ; ; -1.771 ; number[0] ; number[18] ; y ; y ; 1.000 ; -0.076 ; 2.697 ; ; -1.770 ; number[1] ; number[14] ; y ; y ; 1.000 ; -0.073 ; 2.699 ; ; -1.769 ; number[5] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 2.695 ; ; -1.768 ; number[5] ; number[22] ; y ; y ; 1.000 ; -0.076 ; 2.694 ; ; -1.768 ; number[3] ; number[20] ; y ; y ; 1.000 ; -0.076 ; 2.694 ; ; -1.768 ; number[3] ; number[21] ; y ; y ; 1.000 ; -0.076 ; 2.694 ; ; -1.762 ; number[9] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 2.688 ; ; -1.762 ; number[7] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 2.688 ; ; -1.760 ; number[0] ; number[19] ; y ; y ; 1.000 ; -0.076 ; 2.686 ; ; -1.744 ; number[6] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.116 ; ; -1.744 ; number[4] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.116 ; ; -1.744 ; number[2] ; number[26] ; y ; y ; 1.000 ; 0.370 ; 3.116 ; ; -1.731 ; number[1] ; number[15] ; y ; y ; 1.000 ; -0.073 ; 2.660 ; ; -1.726 ; number[12] ; number[27] ; y ; y ; 1.000 ; -0.076 ; 2.652 ; ; -1.726 ; number[10] ; number[25] ; y ; y ; 1.000 ; -0.076 ; 2.652 ; ; -1.725 ; number[6] ; number[19] ; y ; y ; 1.000 ; -0.076 ; 2.651 ; ; -1.725 ; number[4] ; number[19] ; y ; y ; 1.000 ; -0.076 ; 2.651 ; ; -1.725 ; number[2] ; number[17] ; y ; y ; 1.000 ; -0.076 ; 2.651 ; ; -1.715 ; number[8] ; number[23] ; y ; y ; 1.000 ; -0.076 ; 2.641 ; ; -1.705 ; number[7] ; number[30] ; y ; y ; 1.000 ; 0.370 ; 3.077 ; ; -1.701 ; number[5] ; number[29] ; y ; y ; 1.000 ; 0.370 ; 3.073 ; ; -1.700 ; number[5] ; number[28] ; y ; y ; 1.000 ; 0.370 ; 3.072 ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ +-------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Hold: 'y' ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ ; 0.477 ; j[0] ; j[1] ; y ; y ; 0.000 ; 0.072 ; 0.744 ; ; 0.500 ; j[6] ; j[7] ; y ; y ; 0.000 ; 0.072 ; 0.767 ; ; 0.501 ; j[8] ; j[9] ; y ; y ; 0.000 ; 0.072 ; 0.768 ; ; 0.515 ; j[2] ; j[3] ; y ; y ; 0.000 ; 0.072 ; 0.782 ; ; 0.563 ; number[27] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.295 ; ; 0.565 ; number[25] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.297 ; ; 0.578 ; number[27] ; number[29] ; y ; y ; 0.000 ; 0.537 ; 1.310 ; ; 0.629 ; j[5] ; j[6] ; y ; y ; 0.000 ; 0.072 ; 0.896 ; ; 0.643 ; j[10] ; j[11] ; y ; y ; 0.000 ; 0.072 ; 0.910 ; ; 0.651 ; j[4] ; j[5] ; y ; y ; 0.000 ; 0.072 ; 0.918 ; ; 0.653 ; j[3] ; j[4] ; y ; y ; 0.000 ; 0.072 ; 0.920 ; ; 0.664 ; number[24] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.396 ; ; 0.685 ; number[27] ; number[30] ; y ; y ; 0.000 ; 0.537 ; 1.417 ; ; 0.687 ; number[25] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.419 ; ; 0.688 ; number[23] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.420 ; ; 0.689 ; number[29] ; number[29] ; y ; y ; 0.000 ; 0.091 ; 0.975 ; ; 0.690 ; number[30] ; number[30] ; y ; y ; 0.000 ; 0.091 ; 0.976 ; ; 0.690 ; number[26] ; number[26] ; y ; y ; 0.000 ; 0.091 ; 0.976 ; ; 0.690 ; number[28] ; number[28] ; y ; y ; 0.000 ; 0.091 ; 0.976 ; ; 0.691 ; number[31] ; number[31] ; y ; y ; 0.000 ; 0.091 ; 0.977 ; ; 0.695 ; number[8] ; number[8] ; y ; y ; 0.000 ; 0.073 ; 0.963 ; ; 0.700 ; number[27] ; number[31] ; y ; y ; 0.000 ; 0.537 ; 1.432 ; ; 0.703 ; number[25] ; number[29] ; y ; y ; 0.000 ; 0.537 ; 1.435 ; ; 0.705 ; number[22] ; number[22] ; y ; y ; 0.000 ; 0.073 ; 0.973 ; ; 0.706 ; number[16] ; number[16] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; number[15] ; number[15] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; number[14] ; number[14] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; number[13] ; number[13] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; number[5] ; number[5] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; number[3] ; number[3] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; number[2] ; number[2] ; y ; y ; 0.000 ; 0.073 ; 0.974 ; ; 0.707 ; number[21] ; number[21] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; number[19] ; number[19] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; number[18] ; number[18] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; number[12] ; number[12] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; number[11] ; number[11] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; number[10] ; number[10] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; number[4] ; number[4] ; y ; y ; 0.000 ; 0.073 ; 0.975 ; ; 0.708 ; number[27] ; number[27] ; y ; y ; 0.000 ; 0.073 ; 0.976 ; ; 0.708 ; number[20] ; number[20] ; y ; y ; 0.000 ; 0.073 ; 0.976 ; ; 0.709 ; number[24] ; number[24] ; y ; y ; 0.000 ; 0.073 ; 0.977 ; ; 0.709 ; number[17] ; number[17] ; y ; y ; 0.000 ; 0.073 ; 0.977 ; ; 0.710 ; number[9] ; number[9] ; y ; y ; 0.000 ; 0.073 ; 0.978 ; ; 0.711 ; number[25] ; number[25] ; y ; y ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; number[23] ; number[23] ; y ; y ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; number[7] ; number[7] ; y ; y ; 0.000 ; 0.073 ; 0.979 ; ; 0.728 ; number[0] ; number[1] ; y ; y ; 0.000 ; 0.073 ; 0.996 ; ; 0.779 ; number[22] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.511 ; ; 0.786 ; number[24] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.518 ; ; 0.806 ; j[1] ; j[2] ; y ; y ; 0.000 ; 0.072 ; 1.073 ; ; 0.806 ; number[21] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.538 ; ; 0.809 ; j[9] ; j[10] ; y ; y ; 0.000 ; 0.072 ; 1.076 ; ; 0.809 ; number[25] ; number[30] ; y ; y ; 0.000 ; 0.537 ; 1.541 ; ; 0.810 ; number[23] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.542 ; ; 0.813 ; number[24] ; number[29] ; y ; y ; 0.000 ; 0.537 ; 1.545 ; ; 0.822 ; j[7] ; j[8] ; y ; y ; 0.000 ; 0.072 ; 1.089 ; ; 0.825 ; number[25] ; number[31] ; y ; y ; 0.000 ; 0.537 ; 1.557 ; ; 0.825 ; number[23] ; number[29] ; y ; y ; 0.000 ; 0.537 ; 1.557 ; ; 0.841 ; j[1] ; j[0] ; y ; y ; 0.000 ; 0.072 ; 1.108 ; ; 0.859 ; number[6] ; number[6] ; y ; y ; 0.000 ; 0.073 ; 1.127 ; ; 0.884 ; number[1] ; number[1] ; y ; y ; 0.000 ; 0.073 ; 1.152 ; ; 0.901 ; number[22] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.633 ; ; 0.906 ; number[20] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.638 ; ; 0.908 ; number[24] ; number[30] ; y ; y ; 0.000 ; 0.537 ; 1.640 ; ; 0.928 ; number[21] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.660 ; ; 0.928 ; number[19] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.660 ; ; 0.929 ; number[22] ; number[29] ; y ; y ; 0.000 ; 0.537 ; 1.661 ; ; 0.932 ; number[23] ; number[30] ; y ; y ; 0.000 ; 0.537 ; 1.664 ; ; 0.935 ; number[24] ; number[31] ; y ; y ; 0.000 ; 0.537 ; 1.667 ; ; 0.943 ; number[21] ; number[29] ; y ; y ; 0.000 ; 0.537 ; 1.675 ; ; 0.947 ; number[23] ; number[31] ; y ; y ; 0.000 ; 0.537 ; 1.679 ; ; 0.986 ; j[7] ; j[0] ; y ; y ; 0.000 ; 0.072 ; 1.253 ; ; 0.992 ; j[3] ; j[0] ; y ; y ; 0.000 ; 0.072 ; 1.259 ; ; 1.008 ; number[29] ; number[30] ; y ; y ; 0.000 ; 0.091 ; 1.294 ; ; 1.014 ; number[28] ; number[29] ; y ; y ; 0.000 ; 0.091 ; 1.300 ; ; 1.014 ; number[30] ; number[31] ; y ; y ; 0.000 ; 0.091 ; 1.300 ; ; 1.019 ; number[8] ; number[9] ; y ; y ; 0.000 ; 0.073 ; 1.287 ; ; 1.023 ; number[29] ; number[31] ; y ; y ; 0.000 ; 0.091 ; 1.309 ; ; 1.023 ; number[22] ; number[30] ; y ; y ; 0.000 ; 0.537 ; 1.755 ; ; 1.025 ; number[13] ; number[14] ; y ; y ; 0.000 ; 0.073 ; 1.293 ; ; 1.025 ; number[3] ; number[4] ; y ; y ; 0.000 ; 0.073 ; 1.293 ; ; 1.025 ; number[5] ; number[6] ; y ; y ; 0.000 ; 0.073 ; 1.293 ; ; 1.026 ; number[0] ; number[2] ; y ; y ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; number[21] ; number[22] ; y ; y ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; number[11] ; number[12] ; y ; y ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; number[19] ; number[20] ; y ; y ; 0.000 ; 0.073 ; 1.294 ; ; 1.027 ; number[17] ; number[18] ; y ; y ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; number[22] ; number[23] ; y ; y ; 0.000 ; 0.073 ; 1.295 ; ; 1.028 ; number[7] ; number[8] ; y ; y ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; number[9] ; number[10] ; y ; y ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; number[15] ; number[16] ; y ; y ; 0.000 ; 0.070 ; 1.293 ; ; 1.028 ; number[18] ; number[26] ; y ; y ; 0.000 ; 0.537 ; 1.760 ; ; 1.028 ; number[20] ; number[28] ; y ; y ; 0.000 ; 0.537 ; 1.760 ; ; 1.030 ; number[14] ; number[15] ; y ; y ; 0.000 ; 0.073 ; 1.298 ; ; 1.030 ; number[2] ; number[3] ; y ; y ; 0.000 ; 0.073 ; 1.298 ; ; 1.030 ; number[23] ; number[24] ; y ; y ; 0.000 ; 0.073 ; 1.298 ; ; 1.030 ; number[16] ; number[17] ; y ; y ; 0.000 ; 0.073 ; 1.298 ; ; 1.031 ; number[12] ; number[13] ; y ; y ; 0.000 ; 0.073 ; 1.299 ; ; 1.031 ; number[4] ; number[5] ; y ; y ; 0.000 ; 0.073 ; 1.299 ; ; 1.031 ; number[18] ; number[19] ; y ; y ; 0.000 ; 0.073 ; 1.299 ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ +-----------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Hold: 'CLK_50M' ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; 0.566 ; seg7x8_dp:my|i[30] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.297 ; ; 0.566 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.297 ; ; 0.658 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.389 ; ; 0.687 ; seg7x8_dp:my|i[29] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.091 ; 0.973 ; ; 0.688 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.419 ; ; 0.688 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.419 ; ; 0.689 ; seg7x8_dp:my|i[31] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.091 ; 0.975 ; ; 0.703 ; i[15] ; i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.971 ; ; 0.704 ; i[13] ; i[13] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.972 ; ; 0.704 ; i[11] ; i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.972 ; ; 0.704 ; i[5] ; i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.972 ; ; 0.704 ; i[3] ; i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.972 ; ; 0.705 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.972 ; ; 0.705 ; seg7x8_dp:my|i[15] ; seg7x8_dp:my|i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.972 ; ; 0.705 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.973 ; ; 0.705 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[19] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.973 ; ; 0.705 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.972 ; ; 0.705 ; i[29] ; i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.973 ; ; 0.705 ; i[21] ; i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.973 ; ; 0.706 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; seg7x8_dp:my|i[17] ; seg7x8_dp:my|i[17] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; seg7x8_dp:my|i[11] ; seg7x8_dp:my|i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.973 ; ; 0.706 ; i[27] ; i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.974 ; ; 0.706 ; i[1] ; i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.974 ; ; 0.707 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.974 ; ; 0.707 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; i[31] ; i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; i[22] ; i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.975 ; ; 0.707 ; i[7] ; i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.975 ; ; 0.708 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.976 ; ; 0.708 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.976 ; ; 0.708 ; seg7x8_dp:my|i[6] ; seg7x8_dp:my|i[6] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.975 ; ; 0.708 ; i[25] ; i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.976 ; ; 0.708 ; i[23] ; i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.976 ; ; 0.709 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[16] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.977 ; ; 0.709 ; seg7x8_dp:my|i[7] ; seg7x8_dp:my|i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.976 ; ; 0.709 ; i[2] ; i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.977 ; ; 0.710 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[18] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.978 ; ; 0.710 ; seg7x8_dp:my|i[14] ; seg7x8_dp:my|i[14] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.977 ; ; 0.710 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.977 ; ; 0.710 ; i[12] ; i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.978 ; ; 0.710 ; i[10] ; i[10] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.978 ; ; 0.710 ; i[8] ; i[8] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.978 ; ; 0.710 ; i[4] ; i[4] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.978 ; ; 0.711 ; seg7x8_dp:my|i[20] ; seg7x8_dp:my|i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; seg7x8_dp:my|i[30] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; seg7x8_dp:my|i[12] ; seg7x8_dp:my|i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 0.978 ; ; 0.711 ; i[30] ; i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; i[28] ; i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; i[26] ; i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.711 ; i[20] ; i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.979 ; ; 0.712 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.980 ; ; 0.712 ; i[24] ; i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 0.980 ; ; 0.780 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.511 ; ; 0.786 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.517 ; ; 0.810 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.541 ; ; 0.811 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.542 ; ; 0.908 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.639 ; ; 0.909 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.640 ; ; 0.929 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.660 ; ; 0.933 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.664 ; ; 1.024 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.755 ; ; 1.026 ; seg7x8_dp:my|i[15] ; seg7x8_dp:my|i[16] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; i[11] ; i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; i[3] ; i[4] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.294 ; ; 1.026 ; i[22] ; i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.294 ; ; 1.027 ; i[4] ; i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; i[2] ; i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[17] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; i[21] ; i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[6] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 1.294 ; ; 1.027 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; i[29] ; i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.295 ; ; 1.027 ; seg7x8_dp:my|i[6] ; seg7x8_dp:my|i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 1.294 ; ; 1.028 ; i[12] ; i[13] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; i[10] ; i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 1.295 ; ; 1.028 ; seg7x8_dp:my|i[14] ; seg7x8_dp:my|i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 1.295 ; ; 1.028 ; seg7x8_dp:my|i[20] ; seg7x8_dp:my|i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[19] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; i[20] ; i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.028 ; seg7x8_dp:my|i[11] ; seg7x8_dp:my|i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 1.295 ; ; 1.028 ; i[27] ; i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.296 ; ; 1.029 ; i[28] ; i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.297 ; ; 1.029 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.297 ; ; 1.029 ; i[26] ; i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.297 ; ; 1.029 ; i[30] ; i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.297 ; ; 1.030 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.298 ; ; 1.030 ; i[24] ; i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.298 ; ; 1.030 ; i[1] ; i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.298 ; ; 1.030 ; seg7x8_dp:my|i[17] ; seg7x8_dp:my|i[18] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.298 ; ; 1.031 ; i[0] ; i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.299 ; ; 1.031 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.072 ; 1.298 ; ; 1.031 ; i[7] ; i[8] ; CLK_50M ; CLK_50M ; 0.000 ; 0.073 ; 1.299 ; ; 1.031 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.536 ; 1.762 ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Hold: 'seg7x8_dp:my|y' ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; 0.676 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 1.414 ; ; 0.681 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 0.949 ; ; 0.683 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 0.951 ; ; 0.690 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 0.958 ; ; 0.694 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 0.962 ; ; 0.703 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 0.971 ; ; 0.750 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 1.018 ; ; 0.754 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 1.022 ; ; 0.755 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 1.023 ; ; 0.890 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 1.159 ; ; 0.891 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 1.160 ; ; 1.057 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 1.325 ; ; 1.358 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.096 ; ; 1.409 ; number[2] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.326 ; 1.298 ; ; 1.585 ; number[1] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.144 ; 1.944 ; ; 1.604 ; number[25] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.147 ; 1.966 ; ; 1.762 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.500 ; ; 1.767 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.036 ; ; 1.772 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.510 ; ; 1.784 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.522 ; ; 1.789 ; number[9] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.144 ; 2.148 ; ; 1.834 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.572 ; ; 1.863 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.601 ; ; 1.902 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.170 ; ; 1.945 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.213 ; ; 1.967 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.543 ; 2.705 ; ; 1.990 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.259 ; ; 2.029 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.297 ; ; 2.033 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.301 ; ; 2.040 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.308 ; ; 2.050 ; number[3] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 1.940 ; ; 2.057 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.325 ; ; 2.089 ; number[17] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.147 ; 2.451 ; ; 2.171 ; number[13] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.144 ; 2.530 ; ; 2.171 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.440 ; ; 2.180 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.448 ; ; 2.186 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.454 ; ; 2.188 ; number[0] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.078 ; ; 2.201 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.470 ; ; 2.229 ; number[21] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.147 ; 2.591 ; ; 2.266 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.534 ; ; 2.294 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.563 ; ; 2.294 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.563 ; ; 2.351 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.620 ; ; 2.369 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.638 ; ; 2.373 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.642 ; ; 2.373 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.642 ; ; 2.394 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.663 ; ; 2.414 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.682 ; ; 2.460 ; number[5] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.144 ; 2.819 ; ; 2.463 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.731 ; ; 2.469 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.737 ; ; 2.482 ; number[29] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.299 ; 2.398 ; ; 2.492 ; number[22] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.323 ; 2.384 ; ; 2.504 ; number[26] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.769 ; 1.950 ; ; 2.509 ; number[27] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.322 ; 2.402 ; ; 2.514 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.782 ; ; 2.543 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.812 ; ; 2.581 ; number[30] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.769 ; 2.027 ; ; 2.589 ; number[10] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.326 ; 2.478 ; ; 2.594 ; number[8] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.484 ; ; 2.595 ; number[12] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.485 ; ; 2.600 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.073 ; 2.868 ; ; 2.620 ; number[7] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.510 ; ; 2.624 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.893 ; ; 2.647 ; number[6] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.326 ; 2.536 ; ; 2.649 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.074 ; 2.918 ; ; 2.687 ; number[28] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.768 ; 2.134 ; ; 2.695 ; number[16] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.322 ; 2.588 ; ; 2.732 ; number[18] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.323 ; 2.624 ; ; 2.732 ; number[23] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.322 ; 2.625 ; ; 2.769 ; number[19] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.322 ; 2.662 ; ; 2.779 ; number[20] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.322 ; 2.672 ; ; 2.783 ; number[15] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.673 ; ; 2.791 ; number[4] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.681 ; ; 2.792 ; number[24] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.322 ; 2.685 ; ; 2.859 ; number[14] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.326 ; 2.748 ; ; 2.873 ; number[11] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.325 ; 2.763 ; ; 2.969 ; number[31] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.768 ; 2.416 ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ---------------------------------------------- ; Slow 1200mV 0C Model Metastability Summary ; ---------------------------------------------- No synchronizer chains to report. +-----------------------------------------+ ; Fast 1200mV 0C Model Setup Summary ; +----------------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------------+--------+---------------+ ; CLK_50M ; -0.883 ; -30.950 ; ; seg7x8_dp:my|y ; -0.793 ; -3.418 ; ; y ; -0.741 ; -10.730 ; +----------------+--------+---------------+ +----------------------------------------+ ; Fast 1200mV 0C Model Hold Summary ; +----------------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------------+-------+---------------+ ; y ; 0.210 ; 0.000 ; ; CLK_50M ; 0.265 ; 0.000 ; ; seg7x8_dp:my|y ; 0.287 ; 0.000 ; +----------------+-------+---------------+ ----------------------------------------- ; Fast 1200mV 0C Model Recovery Summary ; ----------------------------------------- No paths to report. ---------------------------------------- ; Fast 1200mV 0C Model Removal Summary ; ---------------------------------------- No paths to report. +--------------------------------------------------+ ; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +----------------+--------+------------------------+ ; Clock ; Slack ; End Point TNS ; +----------------+--------+------------------------+ ; CLK_50M ; -3.000 ; -73.376 ; ; y ; -1.000 ; -44.000 ; ; seg7x8_dp:my|y ; -1.000 ; -12.000 ; +----------------+--------+------------------------+ +------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Setup: 'CLK_50M' ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; -0.883 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.833 ; ; -0.867 ; i[5] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.818 ; ; -0.840 ; i[1] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.790 ; ; -0.836 ; i[1] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.786 ; ; -0.836 ; i[7] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.787 ; ; -0.835 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.786 ; ; -0.830 ; i[0] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.780 ; ; -0.815 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.765 ; ; -0.805 ; i[4] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.756 ; ; -0.801 ; i[6] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.751 ; ; -0.796 ; i[26] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.747 ; ; -0.794 ; i[0] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.744 ; ; -0.780 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.730 ; ; -0.772 ; i[1] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.722 ; ; -0.769 ; i[17] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.519 ; ; -0.769 ; i[3] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.719 ; ; -0.768 ; i[1] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.718 ; ; -0.767 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.718 ; ; -0.765 ; i[17] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.515 ; ; -0.765 ; i[3] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.715 ; ; -0.764 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.715 ; ; -0.762 ; i[0] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.712 ; ; -0.759 ; i[2] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.709 ; ; -0.754 ; i[1] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.705 ; ; -0.747 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.697 ; ; -0.747 ; i[1] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.699 ; ; -0.747 ; i[9] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.697 ; ; -0.740 ; i[3] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.691 ; ; -0.735 ; i[23] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.686 ; ; -0.734 ; seg7x8_dp:my|i[4] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.684 ; ; -0.726 ; i[0] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.676 ; ; -0.724 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 1.000 ; 0.155 ; 1.866 ; ; -0.721 ; i[2] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.671 ; ; -0.721 ; i[28] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.672 ; ; -0.720 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.671 ; ; -0.716 ; i[29] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.667 ; ; -0.712 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.662 ; ; -0.709 ; i[30] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.660 ; ; -0.706 ; seg7x8_dp:my|i[13] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.236 ; 1.457 ; ; -0.705 ; i[19] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.455 ; ; -0.705 ; i[10] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.656 ; ; -0.705 ; i[0] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.657 ; ; -0.704 ; i[1] ; i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.654 ; ; -0.703 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.654 ; ; -0.701 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.652 ; ; -0.701 ; i[5] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.651 ; ; -0.701 ; i[19] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.451 ; ; -0.701 ; i[17] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.451 ; ; -0.701 ; i[3] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.651 ; ; -0.700 ; i[1] ; i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.650 ; ; -0.699 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.650 ; ; -0.697 ; i[5] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.647 ; ; -0.697 ; i[6] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.038 ; 1.646 ; ; -0.697 ; i[17] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.447 ; ; -0.697 ; i[3] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.647 ; ; -0.696 ; i[1] ; i[16] ; CLK_50M ; CLK_50M ; 1.000 ; 0.156 ; 1.839 ; ; -0.696 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.647 ; ; -0.696 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.647 ; ; -0.694 ; i[0] ; i[27] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.644 ; ; -0.692 ; i[5] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.644 ; ; -0.692 ; i[5] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.644 ; ; -0.691 ; i[4] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.641 ; ; -0.691 ; i[2] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.641 ; ; -0.690 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.641 ; ; -0.688 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.639 ; ; -0.681 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.632 ; ; -0.679 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.629 ; ; -0.676 ; i[3] ; i[14] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.628 ; ; -0.668 ; i[6] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.038 ; 1.617 ; ; -0.666 ; seg7x8_dp:my|i[4] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.616 ; ; -0.665 ; i[16] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.415 ; ; -0.663 ; i[9] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.038 ; 1.612 ; ; -0.662 ; seg7x8_dp:my|i[29] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.235 ; 1.414 ; ; -0.661 ; i[7] ; i[6] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.613 ; ; -0.661 ; i[7] ; i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.035 ; 1.613 ; ; -0.659 ; i[9] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.038 ; 1.608 ; ; -0.659 ; i[18] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.409 ; ; -0.658 ; i[0] ; i[26] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.608 ; ; -0.656 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 1.000 ; 0.155 ; 1.798 ; ; -0.654 ; i[0] ; i[16] ; CLK_50M ; CLK_50M ; 1.000 ; 0.156 ; 1.797 ; ; -0.653 ; i[4] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.603 ; ; -0.653 ; i[2] ; i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.603 ; ; -0.653 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.604 ; ; -0.652 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.603 ; ; -0.652 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[9] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.603 ; ; -0.651 ; i[18] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.401 ; ; -0.651 ; i[2] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.602 ; ; -0.649 ; seg7x8_dp:my|i[9] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.599 ; ; -0.647 ; i[22] ; i[0] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.598 ; ; -0.647 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 1.000 ; 0.156 ; 1.790 ; ; -0.644 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.594 ; ; -0.638 ; i[16] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.388 ; ; -0.638 ; seg7x8_dp:my|i[13] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 1.000 ; -0.236 ; 1.389 ; ; -0.637 ; i[7] ; i[31] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.587 ; ; -0.637 ; i[19] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.237 ; 1.387 ; ; -0.636 ; i[1] ; i[25] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.586 ; ; -0.635 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.586 ; ; -0.633 ; seg7x8_dp:my|i[0] ; seg7x8_dp:my|i[10] ; CLK_50M ; CLK_50M ; 1.000 ; -0.036 ; 1.584 ; ; -0.633 ; i[7] ; i[30] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.583 ; ; -0.633 ; i[5] ; i[29] ; CLK_50M ; CLK_50M ; 1.000 ; -0.037 ; 1.583 ; +--------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Setup: 'seg7x8_dp:my|y' ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; -0.793 ; number[31] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.501 ; 1.279 ; ; -0.792 ; number[24] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.478 ; ; -0.750 ; number[14] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.435 ; ; -0.746 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.697 ; ; -0.739 ; number[11] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.424 ; ; -0.720 ; number[23] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.406 ; ; -0.715 ; number[20] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.401 ; ; -0.713 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.664 ; ; -0.713 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.664 ; ; -0.713 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.664 ; ; -0.699 ; number[4] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.384 ; ; -0.697 ; number[15] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.382 ; ; -0.694 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.645 ; ; -0.691 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.642 ; ; -0.676 ; number[18] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.362 ; ; -0.675 ; number[19] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.361 ; ; -0.674 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.625 ; ; -0.674 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.625 ; ; -0.658 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.609 ; ; -0.658 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.609 ; ; -0.655 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.606 ; ; -0.647 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.598 ; ; -0.643 ; number[16] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.329 ; ; -0.633 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.584 ; ; -0.621 ; number[6] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.306 ; ; -0.620 ; number[29] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.308 ; 1.299 ; ; -0.614 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.565 ; ; -0.614 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.565 ; ; -0.610 ; number[28] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.501 ; 1.096 ; ; -0.602 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.553 ; ; -0.599 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.550 ; ; -0.598 ; number[12] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.283 ; ; -0.597 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.548 ; ; -0.597 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.548 ; ; -0.595 ; number[10] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.280 ; ; -0.593 ; number[22] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.279 ; ; -0.590 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.541 ; ; -0.587 ; number[30] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.501 ; 1.073 ; ; -0.586 ; number[8] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.271 ; ; -0.584 ; number[7] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.269 ; ; -0.581 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.532 ; ; -0.578 ; number[27] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.301 ; 1.264 ; ; -0.574 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.525 ; ; -0.569 ; number[5] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.109 ; 1.447 ; ; -0.538 ; number[26] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.501 ; 1.024 ; ; -0.516 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.467 ; ; -0.516 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.467 ; ; -0.515 ; number[21] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.108 ; 1.394 ; ; -0.465 ; number[0] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.150 ; ; -0.430 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.574 ; ; -0.428 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.572 ; ; -0.418 ; number[13] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.109 ; 1.296 ; ; -0.406 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.550 ; ; -0.397 ; number[17] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.108 ; 1.276 ; ; -0.391 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.535 ; ; -0.390 ; number[3] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 1.075 ; ; -0.389 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.533 ; ; -0.325 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.469 ; ; -0.309 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.453 ; ; -0.297 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; 0.157 ; 1.441 ; ; -0.287 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.238 ; ; -0.286 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.237 ; ; -0.228 ; number[9] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.109 ; 1.106 ; ; -0.223 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.174 ; ; -0.203 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.154 ; ; -0.191 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.142 ; ; -0.189 ; number[1] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.109 ; 1.067 ; ; -0.176 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.127 ; ; -0.175 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 1.126 ; ; -0.159 ; number[25] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.108 ; 1.038 ; ; 0.017 ; number[2] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 1.000 ; -0.302 ; 0.668 ; ; 0.307 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.644 ; ; 0.393 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.558 ; ; 0.434 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.517 ; ; 0.466 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.485 ; ; 0.476 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.475 ; ; 0.481 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.470 ; ; 0.487 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.464 ; ; 0.488 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 1.000 ; -0.036 ; 0.463 ; +--------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Setup: 'y' ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ ; -0.741 ; number[1] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.690 ; ; -0.702 ; number[2] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.651 ; ; -0.685 ; number[1] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.826 ; ; -0.673 ; number[1] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.622 ; ; -0.653 ; number[0] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.602 ; ; -0.647 ; number[1] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.788 ; ; -0.646 ; number[2] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.787 ; ; -0.640 ; number[6] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.589 ; ; -0.635 ; number[1] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.584 ; ; -0.634 ; number[4] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.583 ; ; -0.634 ; number[2] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.583 ; ; -0.617 ; number[1] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.758 ; ; -0.605 ; number[1] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.554 ; ; -0.597 ; number[0] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.738 ; ; -0.585 ; number[3] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.534 ; ; -0.585 ; number[0] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.534 ; ; -0.584 ; number[6] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.725 ; ; -0.582 ; number[2] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.723 ; ; -0.579 ; number[1] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.720 ; ; -0.578 ; number[4] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.719 ; ; -0.578 ; number[2] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.719 ; ; -0.572 ; number[6] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.521 ; ; -0.570 ; number[2] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.519 ; ; -0.567 ; number[1] ; number[22] ; y ; y ; 1.000 ; -0.038 ; 1.516 ; ; -0.567 ; number[0] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.708 ; ; -0.566 ; number[4] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.515 ; ; -0.566 ; number[2] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.515 ; ; -0.555 ; number[0] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.504 ; ; -0.537 ; number[1] ; number[21] ; y ; y ; 1.000 ; -0.038 ; 1.486 ; ; -0.529 ; number[3] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.670 ; ; -0.529 ; number[0] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.670 ; ; -0.520 ; number[6] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.661 ; ; -0.517 ; number[5] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.466 ; ; -0.517 ; number[3] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.466 ; ; -0.517 ; number[0] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.466 ; ; -0.516 ; number[6] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.657 ; ; -0.514 ; number[4] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.655 ; ; -0.514 ; number[2] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.655 ; ; -0.511 ; number[1] ; number[26] ; y ; y ; 1.000 ; 0.154 ; 1.652 ; ; -0.510 ; number[4] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.651 ; ; -0.508 ; number[6] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.457 ; ; -0.504 ; number[6] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.453 ; ; -0.502 ; number[4] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.451 ; ; -0.502 ; number[2] ; number[22] ; y ; y ; 1.000 ; -0.038 ; 1.451 ; ; -0.500 ; number[3] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.641 ; ; -0.499 ; number[1] ; number[20] ; y ; y ; 1.000 ; -0.038 ; 1.448 ; ; -0.499 ; number[0] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.640 ; ; -0.498 ; number[4] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.447 ; ; -0.498 ; number[2] ; number[21] ; y ; y ; 1.000 ; -0.038 ; 1.447 ; ; -0.493 ; number[8] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.442 ; ; -0.488 ; number[3] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.437 ; ; -0.487 ; number[0] ; number[22] ; y ; y ; 1.000 ; -0.038 ; 1.436 ; ; -0.469 ; number[1] ; number[19] ; y ; y ; 1.000 ; -0.038 ; 1.418 ; ; -0.461 ; number[5] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.602 ; ; -0.461 ; number[3] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.602 ; ; -0.452 ; number[6] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.593 ; ; -0.450 ; number[7] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.399 ; ; -0.449 ; number[5] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.398 ; ; -0.449 ; number[3] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.398 ; ; -0.449 ; number[0] ; number[21] ; y ; y ; 1.000 ; -0.038 ; 1.398 ; ; -0.446 ; number[4] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.587 ; ; -0.446 ; number[2] ; number[26] ; y ; y ; 1.000 ; 0.154 ; 1.587 ; ; -0.440 ; number[6] ; number[22] ; y ; y ; 1.000 ; -0.038 ; 1.389 ; ; -0.437 ; number[8] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.578 ; ; -0.436 ; number[6] ; number[21] ; y ; y ; 1.000 ; -0.038 ; 1.385 ; ; -0.434 ; number[4] ; number[22] ; y ; y ; 1.000 ; -0.038 ; 1.383 ; ; -0.434 ; number[2] ; number[20] ; y ; y ; 1.000 ; -0.038 ; 1.383 ; ; -0.432 ; number[5] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.573 ; ; -0.432 ; number[3] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.573 ; ; -0.431 ; number[1] ; number[18] ; y ; y ; 1.000 ; -0.038 ; 1.380 ; ; -0.431 ; number[0] ; number[26] ; y ; y ; 1.000 ; 0.154 ; 1.572 ; ; -0.430 ; number[10] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.379 ; ; -0.430 ; number[4] ; number[21] ; y ; y ; 1.000 ; -0.038 ; 1.379 ; ; -0.430 ; number[2] ; number[19] ; y ; y ; 1.000 ; -0.038 ; 1.379 ; ; -0.425 ; number[8] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.374 ; ; -0.420 ; number[5] ; number[24] ; y ; y ; 1.000 ; -0.038 ; 1.369 ; ; -0.420 ; number[3] ; number[22] ; y ; y ; 1.000 ; -0.038 ; 1.369 ; ; -0.419 ; number[0] ; number[20] ; y ; y ; 1.000 ; -0.038 ; 1.368 ; ; -0.401 ; number[1] ; number[17] ; y ; y ; 1.000 ; -0.038 ; 1.350 ; ; -0.394 ; number[7] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.535 ; ; -0.393 ; number[5] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.534 ; ; -0.384 ; number[6] ; number[26] ; y ; y ; 1.000 ; 0.154 ; 1.525 ; ; -0.382 ; number[9] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.331 ; ; -0.382 ; number[7] ; number[25] ; y ; y ; 1.000 ; -0.038 ; 1.331 ; ; -0.381 ; number[5] ; number[23] ; y ; y ; 1.000 ; -0.038 ; 1.330 ; ; -0.381 ; number[3] ; number[21] ; y ; y ; 1.000 ; -0.038 ; 1.330 ; ; -0.381 ; number[0] ; number[19] ; y ; y ; 1.000 ; -0.038 ; 1.330 ; ; -0.378 ; number[4] ; number[26] ; y ; y ; 1.000 ; 0.154 ; 1.519 ; ; -0.374 ; number[10] ; number[31] ; y ; y ; 1.000 ; 0.154 ; 1.515 ; ; -0.373 ; number[8] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.514 ; ; -0.372 ; number[6] ; number[20] ; y ; y ; 1.000 ; -0.038 ; 1.321 ; ; -0.369 ; number[8] ; number[29] ; y ; y ; 1.000 ; 0.154 ; 1.510 ; ; -0.368 ; number[6] ; number[19] ; y ; y ; 1.000 ; -0.038 ; 1.317 ; ; -0.366 ; number[4] ; number[20] ; y ; y ; 1.000 ; -0.038 ; 1.315 ; ; -0.366 ; number[2] ; number[18] ; y ; y ; 1.000 ; -0.038 ; 1.315 ; ; -0.364 ; number[7] ; number[30] ; y ; y ; 1.000 ; 0.154 ; 1.505 ; ; -0.364 ; number[5] ; number[28] ; y ; y ; 1.000 ; 0.154 ; 1.505 ; ; -0.364 ; number[3] ; number[26] ; y ; y ; 1.000 ; 0.154 ; 1.505 ; ; -0.363 ; number[1] ; number[16] ; y ; y ; 1.000 ; -0.038 ; 1.312 ; ; -0.362 ; number[12] ; number[27] ; y ; y ; 1.000 ; -0.038 ; 1.311 ; +--------+------------+------------+--------------+-------------+--------------+------------+------------+ +-------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'y' ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ ; 0.210 ; j[6] ; j[7] ; y ; y ; 0.000 ; 0.036 ; 0.330 ; ; 0.211 ; j[8] ; j[9] ; y ; y ; 0.000 ; 0.036 ; 0.331 ; ; 0.214 ; j[0] ; j[1] ; y ; y ; 0.000 ; 0.036 ; 0.334 ; ; 0.217 ; j[2] ; j[3] ; y ; y ; 0.000 ; 0.036 ; 0.337 ; ; 0.263 ; number[27] ; number[28] ; y ; y ; 0.000 ; 0.237 ; 0.584 ; ; 0.264 ; number[25] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.585 ; ; 0.266 ; number[27] ; number[29] ; y ; y ; 0.000 ; 0.237 ; 0.587 ; ; 0.271 ; j[5] ; j[6] ; y ; y ; 0.000 ; 0.036 ; 0.391 ; ; 0.276 ; j[10] ; j[11] ; y ; y ; 0.000 ; 0.036 ; 0.396 ; ; 0.279 ; j[4] ; j[5] ; y ; y ; 0.000 ; 0.036 ; 0.399 ; ; 0.284 ; j[3] ; j[4] ; y ; y ; 0.000 ; 0.036 ; 0.404 ; ; 0.296 ; number[31] ; number[31] ; y ; y ; 0.000 ; 0.045 ; 0.425 ; ; 0.297 ; number[30] ; number[30] ; y ; y ; 0.000 ; 0.045 ; 0.426 ; ; 0.297 ; number[29] ; number[29] ; y ; y ; 0.000 ; 0.045 ; 0.426 ; ; 0.298 ; number[8] ; number[8] ; y ; y ; 0.000 ; 0.037 ; 0.419 ; ; 0.298 ; number[28] ; number[28] ; y ; y ; 0.000 ; 0.045 ; 0.427 ; ; 0.298 ; number[26] ; number[26] ; y ; y ; 0.000 ; 0.045 ; 0.427 ; ; 0.303 ; number[15] ; number[15] ; y ; y ; 0.000 ; 0.037 ; 0.424 ; ; 0.304 ; number[22] ; number[22] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; number[16] ; number[16] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; number[14] ; number[14] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; number[13] ; number[13] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; number[5] ; number[5] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; number[3] ; number[3] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; number[2] ; number[2] ; y ; y ; 0.000 ; 0.037 ; 0.425 ; ; 0.305 ; number[27] ; number[27] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[24] ; number[24] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[21] ; number[21] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[20] ; number[20] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[19] ; number[19] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[18] ; number[18] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[17] ; number[17] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[12] ; number[12] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[11] ; number[11] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[10] ; number[10] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[7] ; number[7] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; number[4] ; number[4] ; y ; y ; 0.000 ; 0.037 ; 0.426 ; ; 0.306 ; number[25] ; number[25] ; y ; y ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; number[23] ; number[23] ; y ; y ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; number[9] ; number[9] ; y ; y ; 0.000 ; 0.037 ; 0.427 ; ; 0.309 ; number[0] ; number[1] ; y ; y ; 0.000 ; 0.037 ; 0.430 ; ; 0.317 ; number[24] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.638 ; ; 0.329 ; number[27] ; number[30] ; y ; y ; 0.000 ; 0.237 ; 0.650 ; ; 0.330 ; number[25] ; number[28] ; y ; y ; 0.000 ; 0.237 ; 0.651 ; ; 0.330 ; number[23] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.651 ; ; 0.332 ; number[27] ; number[31] ; y ; y ; 0.000 ; 0.237 ; 0.653 ; ; 0.333 ; number[25] ; number[29] ; y ; y ; 0.000 ; 0.237 ; 0.654 ; ; 0.338 ; j[1] ; j[2] ; y ; y ; 0.000 ; 0.036 ; 0.458 ; ; 0.339 ; j[9] ; j[10] ; y ; y ; 0.000 ; 0.036 ; 0.459 ; ; 0.347 ; j[7] ; j[8] ; y ; y ; 0.000 ; 0.036 ; 0.467 ; ; 0.363 ; number[6] ; number[6] ; y ; y ; 0.000 ; 0.037 ; 0.484 ; ; 0.380 ; j[1] ; j[0] ; y ; y ; 0.000 ; 0.036 ; 0.500 ; ; 0.382 ; number[22] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.703 ; ; 0.383 ; number[24] ; number[28] ; y ; y ; 0.000 ; 0.237 ; 0.704 ; ; 0.386 ; number[24] ; number[29] ; y ; y ; 0.000 ; 0.237 ; 0.707 ; ; 0.387 ; number[1] ; number[1] ; y ; y ; 0.000 ; 0.037 ; 0.508 ; ; 0.395 ; number[21] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.716 ; ; 0.396 ; number[25] ; number[30] ; y ; y ; 0.000 ; 0.237 ; 0.717 ; ; 0.396 ; number[23] ; number[28] ; y ; y ; 0.000 ; 0.237 ; 0.717 ; ; 0.399 ; number[25] ; number[31] ; y ; y ; 0.000 ; 0.237 ; 0.720 ; ; 0.399 ; number[23] ; number[29] ; y ; y ; 0.000 ; 0.237 ; 0.720 ; ; 0.443 ; j[7] ; j[0] ; y ; y ; 0.000 ; 0.036 ; 0.563 ; ; 0.445 ; j[3] ; j[0] ; y ; y ; 0.000 ; 0.036 ; 0.565 ; ; 0.446 ; number[30] ; number[31] ; y ; y ; 0.000 ; 0.045 ; 0.575 ; ; 0.447 ; number[28] ; number[29] ; y ; y ; 0.000 ; 0.045 ; 0.576 ; ; 0.447 ; number[8] ; number[9] ; y ; y ; 0.000 ; 0.037 ; 0.568 ; ; 0.448 ; number[22] ; number[28] ; y ; y ; 0.000 ; 0.237 ; 0.769 ; ; 0.449 ; number[20] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.770 ; ; 0.449 ; number[24] ; number[30] ; y ; y ; 0.000 ; 0.237 ; 0.770 ; ; 0.451 ; number[22] ; number[29] ; y ; y ; 0.000 ; 0.237 ; 0.772 ; ; 0.452 ; number[24] ; number[31] ; y ; y ; 0.000 ; 0.237 ; 0.773 ; ; 0.453 ; number[14] ; number[15] ; y ; y ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; number[2] ; number[3] ; y ; y ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; number[16] ; number[17] ; y ; y ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; number[22] ; number[23] ; y ; y ; 0.000 ; 0.037 ; 0.574 ; ; 0.454 ; number[12] ; number[13] ; y ; y ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; number[4] ; number[5] ; y ; y ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; number[20] ; number[21] ; y ; y ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; number[18] ; number[19] ; y ; y ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; number[10] ; number[11] ; y ; y ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; number[24] ; number[25] ; y ; y ; 0.000 ; 0.037 ; 0.575 ; ; 0.455 ; number[29] ; number[30] ; y ; y ; 0.000 ; 0.045 ; 0.584 ; ; 0.458 ; number[29] ; number[31] ; y ; y ; 0.000 ; 0.045 ; 0.587 ; ; 0.461 ; number[21] ; number[28] ; y ; y ; 0.000 ; 0.237 ; 0.782 ; ; 0.461 ; number[19] ; number[26] ; y ; y ; 0.000 ; 0.237 ; 0.782 ; ; 0.462 ; number[15] ; number[16] ; y ; y ; 0.000 ; 0.036 ; 0.582 ; ; 0.462 ; number[13] ; number[14] ; y ; y ; 0.000 ; 0.037 ; 0.583 ; ; 0.462 ; number[0] ; number[2] ; y ; y ; 0.000 ; 0.037 ; 0.583 ; ; 0.462 ; number[3] ; number[4] ; y ; y ; 0.000 ; 0.037 ; 0.583 ; ; 0.462 ; number[5] ; number[6] ; y ; y ; 0.000 ; 0.037 ; 0.583 ; ; 0.462 ; number[23] ; number[30] ; y ; y ; 0.000 ; 0.237 ; 0.783 ; ; 0.463 ; number[7] ; number[8] ; y ; y ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; number[21] ; number[22] ; y ; y ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; number[19] ; number[20] ; y ; y ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; number[17] ; number[18] ; y ; y ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; number[11] ; number[12] ; y ; y ; 0.000 ; 0.037 ; 0.584 ; ; 0.464 ; number[23] ; number[24] ; y ; y ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; number[9] ; number[10] ; y ; y ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; number[21] ; number[29] ; y ; y ; 0.000 ; 0.237 ; 0.785 ; ; 0.465 ; number[5] ; number[7] ; y ; y ; 0.000 ; 0.037 ; 0.586 ; +-------+------------+------------+--------------+-------------+--------------+------------+------------+ +-----------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'CLK_50M' ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ ; 0.265 ; seg7x8_dp:my|i[30] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.585 ; ; 0.266 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.586 ; ; 0.296 ; seg7x8_dp:my|i[31] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.044 ; 0.424 ; ; 0.297 ; seg7x8_dp:my|i[29] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.044 ; 0.425 ; ; 0.303 ; seg7x8_dp:my|i[15] ; seg7x8_dp:my|i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.423 ; ; 0.303 ; i[31] ; i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.424 ; ; 0.303 ; i[15] ; i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.423 ; ; 0.304 ; seg7x8_dp:my|i[3] ; seg7x8_dp:my|i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.424 ; ; 0.304 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[19] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; seg7x8_dp:my|i[17] ; seg7x8_dp:my|i[17] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.424 ; ; 0.304 ; i[29] ; i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; i[27] ; i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; i[21] ; i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.425 ; ; 0.304 ; i[13] ; i[13] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.424 ; ; 0.304 ; i[5] ; i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.424 ; ; 0.304 ; i[3] ; i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.424 ; ; 0.305 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.305 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[16] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; seg7x8_dp:my|i[11] ; seg7x8_dp:my|i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.305 ; seg7x8_dp:my|i[7] ; seg7x8_dp:my|i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.305 ; seg7x8_dp:my|i[6] ; seg7x8_dp:my|i[6] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.305 ; i[25] ; i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; i[23] ; i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; i[22] ; i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.426 ; ; 0.305 ; i[11] ; i[11] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.305 ; i[7] ; i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.305 ; i[1] ; i[1] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.425 ; ; 0.306 ; seg7x8_dp:my|i[20] ; seg7x8_dp:my|i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; seg7x8_dp:my|i[30] ; seg7x8_dp:my|i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[18] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; seg7x8_dp:my|i[14] ; seg7x8_dp:my|i[14] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.426 ; ; 0.306 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.426 ; ; 0.306 ; i[30] ; i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; i[24] ; i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; i[20] ; i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.427 ; ; 0.306 ; i[8] ; i[8] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.426 ; ; 0.306 ; i[2] ; i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.426 ; ; 0.307 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.428 ; ; 0.307 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.428 ; ; 0.307 ; seg7x8_dp:my|i[12] ; seg7x8_dp:my|i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.427 ; ; 0.307 ; i[28] ; i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.428 ; ; 0.307 ; i[26] ; i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.428 ; ; 0.307 ; i[12] ; i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.427 ; ; 0.307 ; i[10] ; i[10] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.427 ; ; 0.307 ; i[4] ; i[4] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.427 ; ; 0.317 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.637 ; ; 0.332 ; seg7x8_dp:my|i[28] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.652 ; ; 0.332 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.652 ; ; 0.383 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.703 ; ; 0.384 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.704 ; ; 0.397 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.717 ; ; 0.398 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.718 ; ; 0.450 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.770 ; ; 0.450 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.770 ; ; 0.451 ; seg7x8_dp:my|i[15] ; seg7x8_dp:my|i[16] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.572 ; ; 0.453 ; seg7x8_dp:my|i[21] ; seg7x8_dp:my|i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; seg7x8_dp:my|i[5] ; seg7x8_dp:my|i[6] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.573 ; ; 0.453 ; i[21] ; i[22] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; seg7x8_dp:my|i[19] ; seg7x8_dp:my|i[20] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; seg7x8_dp:my|i[17] ; seg7x8_dp:my|i[18] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; i[29] ; i[30] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; seg7x8_dp:my|i[27] ; seg7x8_dp:my|i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; i[27] ; i[28] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.574 ; ; 0.453 ; i[3] ; i[4] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.573 ; ; 0.454 ; seg7x8_dp:my|i[23] ; seg7x8_dp:my|i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; seg7x8_dp:my|i[1] ; seg7x8_dp:my|i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.574 ; ; 0.454 ; i[23] ; i[24] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; i[7] ; i[8] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.574 ; ; 0.454 ; i[1] ; i[2] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.574 ; ; 0.454 ; seg7x8_dp:my|i[25] ; seg7x8_dp:my|i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; seg7x8_dp:my|i[11] ; seg7x8_dp:my|i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.574 ; ; 0.454 ; i[25] ; i[26] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.575 ; ; 0.454 ; i[11] ; i[12] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.574 ; ; 0.462 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.782 ; ; 0.463 ; seg7x8_dp:my|i[16] ; seg7x8_dp:my|i[17] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; seg7x8_dp:my|i[22] ; seg7x8_dp:my|i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; seg7x8_dp:my|i[6] ; seg7x8_dp:my|i[7] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.583 ; ; 0.463 ; i[22] ; i[23] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.584 ; ; 0.463 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.236 ; 0.783 ; ; 0.464 ; seg7x8_dp:my|i[14] ; seg7x8_dp:my|i[15] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.584 ; ; 0.464 ; i[30] ; i[31] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; seg7x8_dp:my|i[2] ; seg7x8_dp:my|i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.584 ; ; 0.464 ; seg7x8_dp:my|i[20] ; seg7x8_dp:my|i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; seg7x8_dp:my|i[18] ; seg7x8_dp:my|i[19] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; i[20] ; i[21] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; i[2] ; i[3] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.584 ; ; 0.464 ; seg7x8_dp:my|i[24] ; seg7x8_dp:my|i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.585 ; ; 0.464 ; i[24] ; i[25] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.585 ; ; 0.465 ; seg7x8_dp:my|i[26] ; seg7x8_dp:my|i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.586 ; ; 0.465 ; i[28] ; i[29] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.586 ; ; 0.465 ; i[26] ; i[27] ; CLK_50M ; CLK_50M ; 0.000 ; 0.037 ; 0.586 ; ; 0.465 ; i[12] ; i[13] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.585 ; ; 0.465 ; i[4] ; i[5] ; CLK_50M ; CLK_50M ; 0.000 ; 0.036 ; 0.585 ; +-------+--------------------+--------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'seg7x8_dp:my|y' ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ; 0.287 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.407 ; ; 0.288 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.408 ; ; 0.290 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.410 ; ; 0.295 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.415 ; ; 0.300 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.420 ; ; 0.317 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 0.639 ; ; 0.328 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.448 ; ; 0.329 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.449 ; ; 0.332 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.452 ; ; 0.400 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 0.521 ; ; 0.401 ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 0.522 ; ; 0.447 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[7] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 0.567 ; ; 0.607 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 0.929 ; ; 0.621 ; number[2] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.153 ; 0.572 ; ; 0.743 ; number[25] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.050 ; 0.897 ; ; 0.747 ; number[1] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.049 ; 0.900 ; ; 0.770 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 1.092 ; ; 0.786 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 0.907 ; ; 0.788 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 1.110 ; ; 0.795 ; number[9] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.049 ; 0.948 ; ; 0.821 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 1.143 ; ; 0.834 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 1.156 ; ; 0.845 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 1.167 ; ; 0.869 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 0.990 ; ; 0.888 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.238 ; 1.210 ; ; 0.891 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.011 ; ; 0.905 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.025 ; ; 0.927 ; seg7x8_dp:my|j[5] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.047 ; ; 0.930 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.050 ; ; 0.939 ; number[17] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.050 ; 1.093 ; ; 0.949 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.070 ; ; 0.957 ; number[3] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 0.909 ; ; 0.963 ; number[13] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.049 ; 1.116 ; ; 0.967 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.088 ; ; 0.969 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.089 ; ; 0.983 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.103 ; ; 1.017 ; number[21] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.050 ; 1.171 ; ; 1.026 ; number[0] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 0.978 ; ; 1.032 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.153 ; ; 1.037 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.157 ; ; 1.047 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.168 ; ; 1.047 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.168 ; ; 1.050 ; seg7x8_dp:my|j[6] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.171 ; ; 1.059 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.179 ; ; 1.060 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.180 ; ; 1.063 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.184 ; ; 1.068 ; seg7x8_dp:my|j[4] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.188 ; ; 1.082 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.202 ; ; 1.092 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.212 ; ; 1.094 ; seg7x8_dp:my|j[1] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.214 ; ; 1.098 ; number[5] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; 0.049 ; 1.251 ; ; 1.103 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.224 ; ; 1.103 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.224 ; ; 1.116 ; number[26] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.344 ; 0.876 ; ; 1.122 ; number[8] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.074 ; ; 1.126 ; number[22] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.078 ; ; 1.135 ; number[27] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.151 ; 1.088 ; ; 1.143 ; number[7] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.095 ; ; 1.145 ; number[10] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.153 ; 1.096 ; ; 1.148 ; number[29] ; seg7x8_dp:my|n[1] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.142 ; 1.110 ; ; 1.153 ; number[12] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.105 ; ; 1.159 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[3] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.280 ; ; 1.169 ; number[30] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.344 ; 0.929 ; ; 1.169 ; seg7x8_dp:my|j[2] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.290 ; ; 1.178 ; seg7x8_dp:my|j[0] ; seg7x8_dp:my|n[2] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.036 ; 1.298 ; ; 1.179 ; number[28] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.343 ; 0.940 ; ; 1.182 ; number[6] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.153 ; 1.133 ; ; 1.188 ; seg7x8_dp:my|j[3] ; seg7x8_dp:my|n[0] ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 0.000 ; 0.037 ; 1.309 ; ; 1.192 ; number[16] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.151 ; 1.145 ; ; 1.205 ; number[15] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.157 ; ; 1.215 ; number[4] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.167 ; ; 1.217 ; number[20] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.151 ; 1.170 ; ; 1.223 ; number[23] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.151 ; 1.176 ; ; 1.226 ; number[18] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.178 ; ; 1.227 ; number[19] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.151 ; 1.180 ; ; 1.288 ; number[14] ; seg7x8_dp:my|n[2] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.153 ; 1.239 ; ; 1.293 ; number[11] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.152 ; 1.245 ; ; 1.295 ; number[24] ; seg7x8_dp:my|n[0] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.151 ; 1.248 ; ; 1.331 ; number[31] ; seg7x8_dp:my|n[3] ; y ; seg7x8_dp:my|y ; 0.000 ; -0.343 ; 1.092 ; +-------+-------------------+-------------------+----------------+----------------+--------------+------------+------------+ ---------------------------------------------- ; Fast 1200mV 0C Model Metastability Summary ; ---------------------------------------------- No synchronizer chains to report. +--------------------------------------------------------------------------------+ ; Multicorner Timing Analysis Summary ; +------------------+----------+-------+----------+---------+---------------------+ ; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; +------------------+----------+-------+----------+---------+---------------------+ ; Worst-case Slack ; -3.547 ; 0.210 ; N/A ; N/A ; -3.000 ; ; CLK_50M ; -3.547 ; 0.265 ; N/A ; N/A ; -3.000 ; ; seg7x8_dp:my|y ; -3.088 ; 0.287 ; N/A ; N/A ; -1.487 ; ; y ; -2.899 ; 0.210 ; N/A ; N/A ; -1.487 ; ; Design-wide TNS ; -240.704 ; 0.0 ; 0.0 ; 0.0 ; -184.414 ; ; CLK_50M ; -155.487 ; 0.000 ; N/A ; N/A ; -101.142 ; ; seg7x8_dp:my|y ; -17.556 ; 0.000 ; N/A ; N/A ; -17.844 ; ; y ; -67.661 ; 0.000 ; N/A ; N/A ; -65.428 ; +------------------+----------+-------+----------+---------+---------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Board Trace Model Assignments ; +---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ ; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; +---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ ; D[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[10] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[11] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[12] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[13] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; D[14] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; HEX_S[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +----------------------------------------------------------------------------+ ; Input Transition Times ; +-------------------------+--------------+-----------------+-----------------+ ; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; +-------------------------+--------------+-----------------+-----------------+ ; SW[1] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[2] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[3] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[4] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[5] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[6] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[7] ; 2.5 V ; 2000 ps ; 2000 ps ; ; SW[8] ; 2.5 V ; 2000 ps ; 2000 ps ; ; CLK_50M ; 2.5 V ; 2000 ps ; 2000 ps ; ; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; ; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; ; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; +-------------------------+--------------+-----------------+-----------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Signal Integrity Metrics (Slow 1200mv 0c Model) ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; D[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; D[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; ; D[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; ; D[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.33 V ; -0.00421 V ; 0.165 V ; 0.078 V ; 3.11e-09 s ; 2.85e-09 s ; Yes ; Yes ; 2.32 V ; 2.07e-09 V ; 2.33 V ; -0.00421 V ; 0.165 V ; 0.078 V ; 3.11e-09 s ; 2.85e-09 s ; Yes ; Yes ; ; D[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; ; D[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.36 V ; -0.00737 V ; 0.209 V ; 0.012 V ; 5.22e-10 s ; 5.33e-10 s ; Yes ; Yes ; 2.32 V ; 2.07e-09 V ; 2.36 V ; -0.00737 V ; 0.209 V ; 0.012 V ; 5.22e-10 s ; 5.33e-10 s ; Yes ; Yes ; ; D[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; ; D[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; ; D[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; 2.32 V ; 2.07e-09 V ; 2.38 V ; -0.0073 V ; 0.097 V ; 0.018 V ; 4.24e-10 s ; 3.65e-10 s ; No ; Yes ; ; D[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; D[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; D[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.33 V ; -0.00425 V ; 0.168 V ; 0.058 V ; 3.12e-09 s ; 2.87e-09 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.33 V ; -0.00425 V ; 0.168 V ; 0.058 V ; 3.12e-09 s ; 2.87e-09 s ; Yes ; Yes ; ; HEX[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.33 V ; -0.00425 V ; 0.168 V ; 0.058 V ; 3.12e-09 s ; 2.87e-09 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.33 V ; -0.00425 V ; 0.168 V ; 0.058 V ; 3.12e-09 s ; 2.87e-09 s ; Yes ; Yes ; ; HEX_S[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; HEX_S[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; 2.32 V ; 2.8e-09 V ; 2.37 V ; -0.00373 V ; 0.104 V ; 0.011 V ; 4.34e-10 s ; 3.82e-10 s ; Yes ; Yes ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.74e-09 V ; 2.37 V ; -0.0346 V ; 0.198 V ; 0.094 V ; 3.14e-10 s ; 2.92e-10 s ; Yes ; Yes ; 2.32 V ; 1.74e-09 V ; 2.37 V ; -0.0346 V ; 0.198 V ; 0.094 V ; 3.14e-10 s ; 2.92e-10 s ; Yes ; Yes ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.57e-09 V ; 2.37 V ; -0.00683 V ; 0.171 V ; 0.018 V ; 4.97e-10 s ; 6.66e-10 s ; Yes ; Yes ; 2.32 V ; 2.57e-09 V ; 2.37 V ; -0.00683 V ; 0.171 V ; 0.018 V ; 4.97e-10 s ; 6.66e-10 s ; Yes ; Yes ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Signal Integrity Metrics (Slow 1200mv 85c Model) ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; D[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; D[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; ; D[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; ; D[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.33 V ; -0.0022 V ; 0.088 V ; 0.056 V ; 3.76e-09 s ; 3.48e-09 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.33 V ; -0.0022 V ; 0.088 V ; 0.056 V ; 3.76e-09 s ; 3.48e-09 s ; Yes ; Yes ; ; D[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; ; D[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.34 V ; -0.00869 V ; 0.14 V ; 0.046 V ; 6.89e-10 s ; 6.56e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.34 V ; -0.00869 V ; 0.14 V ; 0.046 V ; 6.89e-10 s ; 6.56e-10 s ; Yes ; Yes ; ; D[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; ; D[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; ; D[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; 2.32 V ; 1.93e-07 V ; 2.35 V ; -0.00834 V ; 0.127 V ; 0.035 V ; 4.7e-10 s ; 4.64e-10 s ; Yes ; Yes ; ; D[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; D[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; D[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.33 V ; -0.00229 V ; 0.111 V ; 0.057 V ; 3.78e-09 s ; 3.5e-09 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.33 V ; -0.00229 V ; 0.111 V ; 0.057 V ; 3.78e-09 s ; 3.5e-09 s ; Yes ; Yes ; ; HEX[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.33 V ; -0.00229 V ; 0.111 V ; 0.057 V ; 3.78e-09 s ; 3.5e-09 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.33 V ; -0.00229 V ; 0.111 V ; 0.057 V ; 3.78e-09 s ; 3.5e-09 s ; Yes ; Yes ; ; HEX_S[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; HEX_S[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 2.85e-07 V ; 2.35 V ; -0.0123 V ; 0.144 V ; 0.042 V ; 4.81e-10 s ; 4.81e-10 s ; Yes ; Yes ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.55e-07 V ; 2.35 V ; -0.00221 V ; 0.097 V ; 0.005 V ; 4.49e-10 s ; 3.85e-10 s ; Yes ; Yes ; 2.32 V ; 1.55e-07 V ; 2.35 V ; -0.00221 V ; 0.097 V ; 0.005 V ; 4.49e-10 s ; 3.85e-10 s ; Yes ; Yes ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 2.54e-07 V ; 2.34 V ; -0.00774 V ; 0.109 V ; 0.026 V ; 6.58e-10 s ; 8.24e-10 s ; Yes ; Yes ; 2.32 V ; 2.54e-07 V ; 2.34 V ; -0.00774 V ; 0.109 V ; 0.026 V ; 6.58e-10 s ; 8.24e-10 s ; Yes ; Yes ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Signal Integrity Metrics (Fast 1200mv 0c Model) ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; D[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; D[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; D[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; D[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.64 V ; -0.0117 V ; 0.202 V ; 0.176 V ; 2.38e-09 s ; 2.22e-09 s ; No ; Yes ; 2.62 V ; 2.74e-08 V ; 2.64 V ; -0.0117 V ; 0.202 V ; 0.176 V ; 2.38e-09 s ; 2.22e-09 s ; No ; Yes ; ; D[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; D[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.71 V ; -0.0317 V ; 0.148 V ; 0.064 V ; 4.51e-10 s ; 4.15e-10 s ; No ; Yes ; 2.62 V ; 2.74e-08 V ; 2.71 V ; -0.0317 V ; 0.148 V ; 0.064 V ; 4.51e-10 s ; 4.15e-10 s ; No ; Yes ; ; D[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; D[10] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; D[11] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; D[12] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; D[13] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; D[14] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.64 V ; -0.0113 V ; 0.208 V ; 0.179 V ; 2.38e-09 s ; 2.23e-09 s ; No ; Yes ; 2.62 V ; 4.05e-08 V ; 2.64 V ; -0.0113 V ; 0.208 V ; 0.179 V ; 2.38e-09 s ; 2.23e-09 s ; No ; Yes ; ; HEX[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.64 V ; -0.0113 V ; 0.208 V ; 0.179 V ; 2.38e-09 s ; 2.23e-09 s ; No ; Yes ; 2.62 V ; 4.05e-08 V ; 2.64 V ; -0.0113 V ; 0.208 V ; 0.179 V ; 2.38e-09 s ; 2.23e-09 s ; No ; Yes ; ; HEX_S[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; HEX_S[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; 2.62 V ; 4.05e-08 V ; 2.72 V ; -0.0349 V ; 0.173 V ; 0.1 V ; 2.72e-10 s ; 2.69e-10 s ; Yes ; Yes ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +-----------------------------------------------------------------------------+ ; Setup Transfers ; +----------------+----------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +----------------+----------------+----------+----------+----------+----------+ ; CLK_50M ; CLK_50M ; 1568 ; 0 ; 0 ; 0 ; ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 179 ; 0 ; 0 ; 0 ; ; y ; seg7x8_dp:my|y ; 32 ; 0 ; 0 ; 0 ; ; y ; y ; 551 ; 0 ; 0 ; 0 ; +----------------+----------------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. +-----------------------------------------------------------------------------+ ; Hold Transfers ; +----------------+----------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +----------------+----------------+----------+----------+----------+----------+ ; CLK_50M ; CLK_50M ; 1568 ; 0 ; 0 ; 0 ; ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; 179 ; 0 ; 0 ; 0 ; ; y ; seg7x8_dp:my|y ; 32 ; 0 ; 0 ; 0 ; ; y ; y ; 551 ; 0 ; 0 ; 0 ; +----------------+----------------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. --------------- ; Report TCCS ; --------------- No dedicated SERDES Transmitter circuitry present in device or used in design --------------- ; Report RSKM ; --------------- No non-DPA dedicated SERDES Receiver circuitry present in device or used in design +------------------------------------------------+ ; Unconstrained Paths Summary ; +---------------------------------+-------+------+ ; Property ; Setup ; Hold ; +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 0 ; 0 ; ; Unconstrained Input Port Paths ; 0 ; 0 ; ; Unconstrained Output Ports ; 27 ; 27 ; ; Unconstrained Output Port Paths ; 48 ; 48 ; +---------------------------------+-------+------+ +------------------------------------------------------+ ; Clock Status Summary ; +----------------+----------------+------+-------------+ ; Target ; Clock ; Type ; Status ; +----------------+----------------+------+-------------+ ; CLK_50M ; CLK_50M ; Base ; Constrained ; ; seg7x8_dp:my|y ; seg7x8_dp:my|y ; Base ; Constrained ; ; y ; y ; Base ; Constrained ; +----------------+----------------+------+-------------+ +-----------------------------------------------------------------------------------------------------+ ; Unconstrained Output Ports ; +-------------+---------------------------------------------------------------------------------------+ ; Output Port ; Comment ; +-------------+---------------------------------------------------------------------------------------+ ; D[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +-------------+---------------------------------------------------------------------------------------+ +-----------------------------------------------------------------------------------------------------+ ; Unconstrained Output Ports ; +-------------+---------------------------------------------------------------------------------------+ ; Output Port ; Comment ; +-------------+---------------------------------------------------------------------------------------+ ; D[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; D[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; HEX_S[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +-------------+---------------------------------------------------------------------------------------+ +--------------------------+ ; Timing Analyzer Messages ; +--------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Processing started: Sun May 16 23:32:54 2021 Info: Command: quartus_sta template -c template Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Critical Warning (332012): Synopsys Design Constraints File file not found: 'template.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name y y Info (332105): create_clock -period 1.000 -name CLK_50M CLK_50M Info (332105): create_clock -period 1.000 -name seg7x8_dp:my|y seg7x8_dp:my|y Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1200mV 85C Model Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. Info (332146): Worst-case setup slack is -3.547 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): -3.547 -155.487 CLK_50M Info (332119): -3.088 -17.556 seg7x8_dp:my|y Info (332119): -2.899 -67.661 y Info (332146): Worst-case hold slack is 0.516 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.516 0.000 y Info (332119): 0.634 0.000 CLK_50M Info (332119): 0.738 0.000 seg7x8_dp:my|y Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -3.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): -3.000 -101.142 CLK_50M Info (332119): -1.487 -65.428 y Info (332119): -1.487 -17.844 seg7x8_dp:my|y Info: Analyzing Slow 1200mV 0C Model Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. Info (332146): Worst-case setup slack is -3.201 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): -3.201 -133.733 CLK_50M Info (332119): -2.838 -15.657 seg7x8_dp:my|y Info (332119): -2.490 -57.440 y Info (332146): Worst-case hold slack is 0.477 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.477 0.000 y Info (332119): 0.566 0.000 CLK_50M Info (332119): 0.676 0.000 seg7x8_dp:my|y Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -3.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): -3.000 -101.142 CLK_50M Info (332119): -1.487 -65.428 y Info (332119): -1.487 -17.844 seg7x8_dp:my|y Info: Analyzing Fast 1200mV 0C Model Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. Info (332146): Worst-case setup slack is -0.883 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): -0.883 -30.950 CLK_50M Info (332119): -0.793 -3.418 seg7x8_dp:my|y Info (332119): -0.741 -10.730 y Info (332146): Worst-case hold slack is 0.210 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.210 0.000 y Info (332119): 0.265 0.000 CLK_50M Info (332119): 0.287 0.000 seg7x8_dp:my|y Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -3.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): -3.000 -73.376 CLK_50M Info (332119): -1.000 -44.000 y Info (332119): -1.000 -12.000 seg7x8_dp:my|y Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings Info: Peak virtual memory: 449 megabytes Info: Processing ended: Sun May 16 23:32:56 2021 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02