module top( input [8:1] SW, output [14:3] D ); assign D[12:5] = ~ SW[8:1]; assign D[14] = (SW[1] & SW[2] | SW[3]) | SW[4]; endmodule