/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 2020 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Intel Program License Subscription Agreement, the Intel Quartus Prime License Agreement, the Intel FPGA IP License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Intel and sold by Intel or its authorized distributors. Please refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. */ (header "symbol" (version "1.2")) (symbol (rect 16 16 112 112) (text "template" (rect 5 0 58 15)(font "Intel Clear" (font_size 8))) (text "inst" (rect 8 79 28 92)(font "Intel Clear" )) (port (pt 0 32) (input) (text "K[2]" (rect 0 0 24 15)(font "Intel Clear" (font_size 8))) (text "K[2]" (rect 21 27 45 42)(font "Intel Clear" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 96 32) (output) (text "D[5]" (rect 0 0 24 15)(font "Intel Clear" (font_size 8))) (text "D[5]" (rect 51 27 75 42)(font "Intel Clear" (font_size 8))) (line (pt 96 32)(pt 80 32)) ) (drawing (rectangle (rect 16 16 80 80)) ) )