{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1621197174732 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1621197174732 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 16 23:32:54 2021 " "Processing started: Sun May 16 23:32:54 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1621197174732 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1621197174732 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta template -c template " "Command: quartus_sta template -c template" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1621197174732 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1621197174768 ""} { "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1621197174840 ""} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1621197174840 ""} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197174911 ""} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197174911 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "template.sdc " "Synopsys Design Constraints File file not found: 'template.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1621197175094 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175094 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name y y " "create_clock -period 1.000 -name y y" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1621197175096 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name CLK_50M CLK_50M " "create_clock -period 1.000 -name CLK_50M CLK_50M" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1621197175096 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name seg7x8_dp:my\|y seg7x8_dp:my\|y " "create_clock -period 1.000 -name seg7x8_dp:my\|y seg7x8_dp:my\|y" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1621197175096 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1621197175096 ""} { "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1621197175098 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1621197175099 ""} { "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1621197175099 ""} { "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1621197175105 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1621197175125 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1621197175125 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -3.547 " "Worst-case setup slack is -3.547" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175126 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175126 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.547 -155.487 CLK_50M " " -3.547 -155.487 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175126 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.088 -17.556 seg7x8_dp:my\|y " " -3.088 -17.556 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175126 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.899 -67.661 y " " -2.899 -67.661 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175126 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175126 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.516 " "Worst-case hold slack is 0.516" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.516 0.000 y " " 0.516 0.000 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.634 0.000 CLK_50M " " 0.634 0.000 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.738 0.000 seg7x8_dp:my\|y " " 0.738 0.000 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175128 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175128 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1621197175128 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1621197175129 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -101.142 CLK_50M " " -3.000 -101.142 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.487 -65.428 y " " -1.487 -65.428 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.487 -17.844 seg7x8_dp:my\|y " " -1.487 -17.844 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175130 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175130 ""} { "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1621197175166 ""} { "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1621197175187 ""} { "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1621197175405 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1621197175456 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1621197175460 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1621197175460 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -3.201 " "Worst-case setup slack is -3.201" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175462 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175462 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.201 -133.733 CLK_50M " " -3.201 -133.733 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175462 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.838 -15.657 seg7x8_dp:my\|y " " -2.838 -15.657 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175462 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.490 -57.440 y " " -2.490 -57.440 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175462 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175462 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.477 " "Worst-case hold slack is 0.477" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.477 0.000 y " " 0.477 0.000 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.566 0.000 CLK_50M " " 0.566 0.000 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.676 0.000 seg7x8_dp:my\|y " " 0.676 0.000 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175465 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175465 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1621197175467 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1621197175469 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175471 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175471 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -101.142 CLK_50M " " -3.000 -101.142 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175471 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.487 -65.428 y " " -1.487 -65.428 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175471 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.487 -17.844 seg7x8_dp:my\|y " " -1.487 -17.844 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175471 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175471 ""} { "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1621197175516 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1621197175614 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1621197175616 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1621197175616 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -0.883 " "Worst-case setup slack is -0.883" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175619 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175619 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.883 -30.950 CLK_50M " " -0.883 -30.950 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175619 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.793 -3.418 seg7x8_dp:my\|y " " -0.793 -3.418 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175619 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.741 -10.730 y " " -0.741 -10.730 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175619 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175619 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.210 " "Worst-case hold slack is 0.210" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.210 0.000 y " " 0.210 0.000 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.265 0.000 CLK_50M " " 0.265 0.000 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.287 0.000 seg7x8_dp:my\|y " " 0.287 0.000 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175623 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175623 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1621197175626 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1621197175628 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175631 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175631 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -73.376 CLK_50M " " -3.000 -73.376 CLK_50M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175631 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.000 -44.000 y " " -1.000 -44.000 y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175631 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.000 -12.000 seg7x8_dp:my\|y " " -1.000 -12.000 seg7x8_dp:my\|y " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1621197175631 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1621197175631 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1621197176029 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1621197176029 ""} { "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "449 " "Peak virtual memory: 449 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1621197176072 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 16 23:32:56 2021 " "Processing ended: Sun May 16 23:32:56 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1621197176072 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1621197176072 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1621197176072 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1621197176072 ""}