-- Copyright (C) 2020 Intel Corporation. All rights reserved. -- Your use of Intel Corporation's design tools, logic functions -- and other software and tools, and any partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Intel Program License -- Subscription Agreement, the Intel Quartus Prime License Agreement, -- the Intel FPGA IP License Agreement, or other applicable license -- agreement, including, without limitation, that your use is for -- the sole purpose of programming logic devices manufactured by -- Intel and sold by Intel or its authorized distributors. Please -- refer to the applicable agreement for further details, at -- https://fpgasoftware.intel.com/eula. -- -- This is a Quartus Prime output file. It is for reporting purposes only, and is -- not intended for use as a Quartus Prime input file. This file cannot be used -- to make Quartus Prime pin assignments - for instructions on how to make pin -- assignments, please see Quartus Prime help. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 2.5V -- Bank 2: 2.5V -- Bank 3: 2.5V -- Bank 4: 2.5V -- Bank 5: 2.5V -- Bank 6: 2.5V -- Bank 7: 2.5V -- Bank 8: 2.5V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. -- It can also be used to report unused dedicated pins. The connection -- on the board for unused dedicated pins depends on whether this will -- be used in a future design. One example is device migration. When -- using device migration, refer to the device pin-tables. If it is a -- GND pin in the pin table or if it will not be used in a future design -- for another purpose the it MUST be connected to GND. If it is an unused -- dedicated pin, then it can be connected to a valid signal on the board -- (low, high, or toggling) if that signal is required for a different -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. -- This pin should be connected to GND. It may also be connected to a -- valid signal on the board (low, high, or toggling) if that signal -- is required for a different revision of the design. -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition CHIP "template" ASSIGNED TO AN: EP4CE10E22C8 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : : : : 1 : RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : : : : 1 : RESERVED_INPUT_WITH_WEAK_PULLUP : 3 : : : : 1 : GND : 4 : gnd : : : : VCCINT : 5 : power : : 1.2V : : ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : input : 2.5 V : : 1 : N RESERVED_INPUT_WITH_WEAK_PULLUP : 7 : : : : 1 : ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : input : 2.5 V : : 1 : N nSTATUS : 9 : : : : 1 : RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : ~ALTERA_DCLK~ : 12 : output : 2.5 V : : 1 : N ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 13 : input : 2.5 V : : 1 : N nCONFIG : 14 : : : : 1 : TDI : 15 : input : : : 1 : TCK : 16 : input : : : 1 : VCCIO1 : 17 : power : : 2.5V : 1 : TMS : 18 : input : : : 1 : GND : 19 : gnd : : : : TDO : 20 : output : : : 1 : nCE : 21 : : : : 1 : GND : 22 : gnd : : : : CLK_50M : 23 : input : 2.5 V : : 1 : Y GND+ : 24 : : : : 2 : GND+ : 25 : : : : 2 : VCCIO2 : 26 : power : : 2.5V : 2 : GND : 27 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : 28 : : : : 2 : VCCINT : 29 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : 30 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : 31 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : 32 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : 33 : : : : 2 : RESERVED_INPUT_WITH_WEAK_PULLUP : 34 : : : : 2 : VCCA1 : 35 : power : : 2.5V : : GNDA1 : 36 : gnd : : : : VCCD_PLL1 : 37 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : 38 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : VCCIO3 : 40 : power : : 2.5V : 3 : GND : 41 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 43 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : VCCINT : 45 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : 46 : : : : 3 : VCCIO3 : 47 : power : : 2.5V : 3 : GND : 48 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 52 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 53 : : : : 3 : RESERVED_INPUT_WITH_WEAK_PULLUP : 54 : : : : 4 : RESERVED_INPUT_WITH_WEAK_PULLUP : 55 : : : : 4 : VCCIO4 : 56 : power : : 2.5V : 4 : GND : 57 : gnd : : : : SW[1] : 58 : input : 2.5 V : : 4 : Y SW[2] : 59 : input : 2.5 V : : 4 : Y SW[3] : 60 : input : 2.5 V : : 4 : Y VCCINT : 61 : power : : 1.2V : : VCCIO4 : 62 : power : : 2.5V : 4 : GND : 63 : gnd : : : : SW[4] : 64 : input : 2.5 V : : 4 : Y SW[5] : 65 : input : 2.5 V : : 4 : Y SW[6] : 66 : input : 2.5 V : : 4 : Y SW[7] : 67 : input : 2.5 V : : 4 : Y SW[8] : 68 : input : 2.5 V : : 4 : Y D[14] : 69 : output : 2.5 V : : 4 : Y D[13] : 70 : output : 2.5 V : : 4 : Y D[12] : 71 : output : 2.5 V : : 4 : Y D[3] : 72 : output : 2.5 V : : 4 : Y D[4] : 73 : output : 2.5 V : : 5 : Y D[5] : 74 : output : 2.5 V : : 5 : Y D[11] : 75 : output : 2.5 V : : 5 : Y D[10] : 76 : output : 2.5 V : : 5 : Y D[9] : 77 : output : 2.5 V : : 5 : Y VCCINT : 78 : power : : 1.2V : : GND : 79 : gnd : : : : D[6] : 80 : output : 2.5 V : : 5 : Y VCCIO5 : 81 : power : : 2.5V : 5 : GND : 82 : gnd : : : : D[7] : 83 : output : 2.5 V : : 5 : Y D[8] : 84 : output : 2.5 V : : 5 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : 85 : : : : 5 : RESERVED_INPUT_WITH_WEAK_PULLUP : 86 : : : : 5 : RESERVED_INPUT_WITH_WEAK_PULLUP : 87 : : : : 5 : GND+ : 88 : : : : 5 : GND+ : 89 : : : : 5 : GND+ : 90 : : : : 6 : GND+ : 91 : : : : 6 : CONF_DONE : 92 : : : : 6 : VCCIO6 : 93 : power : : 2.5V : 6 : MSEL0 : 94 : : : : 6 : GND : 95 : gnd : : : : MSEL1 : 96 : : : : 6 : MSEL2 : 97 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 101 : output : 2.5 V : : 6 : N VCCINT : 102 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : 105 : : : : 6 : RESERVED_INPUT_WITH_WEAK_PULLUP : 106 : : : : 6 : VCCA2 : 107 : power : : 2.5V : : GNDA2 : 108 : gnd : : : : VCCD_PLL2 : 109 : power : : 1.2V : : RESERVED_INPUT_WITH_WEAK_PULLUP : 110 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : 111 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : 112 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : 113 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : 114 : : : : 7 : HEX_S[7] : 115 : output : 2.5 V : : 7 : Y VCCINT : 116 : power : : 1.2V : : VCCIO7 : 117 : power : : 2.5V : 7 : GND : 118 : gnd : : : : HEX_S[6] : 119 : output : 2.5 V : : 7 : Y HEX_S[5] : 120 : output : 2.5 V : : 7 : Y HEX_S[4] : 121 : output : 2.5 V : : 7 : Y VCCIO7 : 122 : power : : 2.5V : 7 : GND : 123 : gnd : : : : HEX_S[3] : 124 : output : 2.5 V : : 7 : Y HEX_S[2] : 125 : output : 2.5 V : : 7 : Y HEX_S[1] : 126 : output : 2.5 V : : 7 : Y HEX_S[0] : 127 : output : 2.5 V : : 7 : Y HEX[0] : 128 : output : 2.5 V : : 8 : Y HEX[1] : 129 : output : 2.5 V : : 8 : Y VCCIO8 : 130 : power : : 2.5V : 8 : GND : 131 : gnd : : : : HEX[2] : 132 : output : 2.5 V : : 8 : Y HEX[3] : 133 : output : 2.5 V : : 8 : Y VCCINT : 134 : power : : 1.2V : : HEX[4] : 135 : output : 2.5 V : : 8 : Y HEX[5] : 136 : output : 2.5 V : : 8 : Y HEX[6] : 137 : output : 2.5 V : : 8 : Y HEX[7] : 138 : output : 2.5 V : : 8 : Y VCCIO8 : 139 : power : : 2.5V : 8 : GND : 140 : gnd : : : : RESERVED_INPUT_WITH_WEAK_PULLUP : 141 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : 142 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : 143 : : : : 8 : RESERVED_INPUT_WITH_WEAK_PULLUP : 144 : : : : 8 : GND : EPAD : : : : :