From 2ab872c74bd4ecf341e04bcaf6ecc9b6154b77d5 Mon Sep 17 00:00:00 2001 From: Zen Date: Mon, 20 Jul 2020 03:19:30 +0300 Subject: [PATCH] =?UTF-8?q?=20=C2=96trace=20without=20polygon=20fill?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Connector_out.SchDoc | Bin 76288 -> 77312 bytes Connector_stepper.SchDoc | Bin 72704 -> 73216 bytes Controller.SchDoc | Bin 353280 -> 353792 bytes DisysCNC.Annotation | 995 ++++++++++++++++++++------------------- DisysCNC.PrjPcb | 132 +++--- DisysCNC.PrjPcbStructure | 1 + DisysCNC_PCB.PcbDoc | Bin 3468288 -> 3565568 bytes Main.SchDoc | Bin 30208 -> 29696 bytes Power.SchDoc | Bin 180736 -> 179712 bytes 9 files changed, 579 insertions(+), 549 deletions(-) diff --git a/Connector_out.SchDoc b/Connector_out.SchDoc index c7a3de165a8f57fda5e1a2426d04be00094bfc4f..451e877e5c0e74ffb2a9892efebbfeeebd7b21b4 100644 GIT binary patch delta 4629 zcmZu#3viQF7S8?4BMohn($YtgKGLW_OC^v0PufD1v`rsrUd^LTbb%Hqv{>5WvI=Zl zTEJF$we%?ex~uY1L0AQhP!$l?)sb;wu!uXeqadieiX$s1D}q|~+&@WEl+I*w&bi+? z_ulWEd+trU&W`E&WK6$C^^_?5CliUDM3LZU_|~mk)Vdiz0|%-ZhAHI0$DAjHz{aT5 z1?^?6Ez2DBOBxI&Z_|>7-)B1-9?f>QE~#&6_cX0+Fq(|e5_4}lH-alLnICSdZx%)i zO$EVYFHB!))-dD!Nsvt;JK6)VOb5P)Xg!h-UwHV z(%_gjA5zlh2xC<5OT#hrq4Mf+sbF0&5f-FJ$Eoe|furuY-2sEOJLLmr^Y$vy|8?t-gRL2t$j~;2Y%va5RRn&u5In{*D6X)OFn7qNGbOB47k(vP-K zHa{M*bjTlsSJ*iMpEfSSrvfG$@}hip7}OHC&1d%et;JzJTez7pY?u<}v@D7pGO8uk zvMRIRUh4|;nOb0@duLbFnR;17N=wJ z7~t8asUx1VvK5eO6p|Ceax83L%ORohK%<_=vC~D;(d5<(#P-h!5!*hqIgVjjtXdAT zDi1Q8Ciu_FiG<ew^N zfXSfL|IRr#Y=q?}GvV%zBpB;@6^4I`h2EP|IPH1_cK@6QZPjW?z~}RnmwMfzx3PrN z;fPfV9b7(qS3MOve~E?KMk(mskHD1SJUHuCi(Qs#x5r*y1Gn6b8JyPGUefw-OT)wW z`c15+Afg0JI0D+$O4#OEgeZMvz;DtQ>LLn7=>^m*Z?}xoYTErejG}1|=x_vvylav; z-R(xBXa`)a%7t^;QrPCp#I~?>Mk+WJ>yf93X#(~TJv7VVBTMo8G`TP_@^5FSJYO&K= zQt7U+SHkHHX$B4%Ah`0~7}i)I^y!^{T+XmYxYDi#>5nO}pnY9Pz4TNkiG|NP z)`bY*JJk}WqsD5hE_RCE6gp~GM?fgU`&O+JO7QztYKf=B=BaR3`bC$+kSw@hm;m#- zuvrYp!mh6Uu=`dXtnS9*cG;a)hrc@9Bi`@Hfdn=I9C(apcS=D#n2=DY8C8#Z(5zmg zU=@68Gr$Y|!_u$>-QB31F zL%XeYe*Lnh)|Pt_p&xa$=vX)qj*Mh7A}2fA-y*f`g^c1u_(SJw2uqDdxh3<=yA2U(q3C;uJ-!i;^SQm?tA_dufiwS z@e&y~5oWDZi!Ihti`!r2#}ZWt`+R9#uHyE&ZzBb!ug-+H^|ge9dp2sCa!-Go4err8M|bpOitLp$s|H4Wz?2n*+i!`k%Q!`0PNNN*GFm zdtO^cUlg<>552aCG3bTI#r%UCQ4D@}Fok3ou=hms6;%Qz1FHe^larwDR1(++S79?C zpQXYVg9m7sp~k6j{B^aY!ddOA^_nfBUxd0`IJl58=)l#O4n1$YLPZLk`z97}nZ;UZ z^P5Klwb1oT3gjFLnr!Q^Xm7(;;|2Cwy_@yipP@b>>+peY$@Oogr=c1FdC@WJ^UXuIAVF@-Yma#YQv z1i^hb+8M3@j@(F#iPqUPDEdJ*!cyx^8BW%9^Cir5s5U%5sl^YJ7hC-$W=g93S8yp~ z&!a50u>*P3v#>sM_-ktHu7Rs!FT3qV~=nx`A7}BUSz3 zEw#ARQf%{A)Hv>p)<4xn5H6e+-a`m>kJ2iur?$2N`B(5y6C%_#l1MoI3L#tZtPXBw zNWwVi9#Ha!#bhFAbQ2PFdd=uIsV}4*KwM_Axou@W4;<*rk74nux!AWt>~vLlO3ZFA zY~FjnU~J?2#g2*^YlW|(0=B&nGG3gK_v@f|*c12Yu#YH1v3`rdX%qJpk&UqV9RyOKL6N@)}!7vFIH*@UnuxM}j*#zHvNU*`LgR zB_>jMWm7z(FQ9#nH^-7>S*6`xLd=S81-Ue zHKHzF6-N}jW&%PUln@s`IDxSIrxH@l&x}J`!vvJxjw1&C8!F9@$I+#6L@lFD8AE9c zLXR(&cO{WbeoO-4!~u`Z?=Q7i^V(#REZ5>y7tosY2t+(h20qqM6FozxR`RcZO{40wB?12v+9ps^v1H5n*D9A36K#+eL!Ukb_Q@fFVUf0L29{MQqa5?>-w zr-nmD-k#w#aQp{yayQ?Sgs@8p;~|42%IIt?-8X)58qsqh%CAvS$3&u@9)7Y4lj44G zZo0hCe$b)a&ze{Ry5kqRhcmV^8QrszFk@sAE^lWNar3=YnwpH#)D)EVQE4BQxJj6N zAr!{P*9p=#Y9+<=03AliG(+e`ZJ@L1OoE0CQ4JSFsX>M)>&Ta$Pf#K^U!-FA*(n&_ zK-V2wKK0dUM4S0UCCT7>(-87GLR8@ugu@Hk=$#! zI!vYORGO2H(s~6-L{9F?#x3F0hS1LF29ZEP!(|)83#sO}$cb9Gv7=aee@C%GzO(qC z63abLLF)LK892i+1!acrUS~3fYEIDfA#J3DjC6V=$Vi#VVze5*Es5y)c}hGjLY3)E zg`v|j0?~#bmk<(3Po$zW8qrothmvUd)+EA;{Q<9|%xAXq<^(cHPm6ctP|@hfxp2fn z%b|r$bIF>Fw2p+d@vcl##GlU~QoQr|tC_?Cac&v^-wfi582AgBL`r`%m-B`!iqrGu zS)}MtHYs4n_ThhS`Uklj@^^CRF5(&^+ho77qHA%YdGBMVvy+FWU*+qwNHPKb4^zk* N=8S6a<`goH{2yl*FJ}M% delta 4444 zcmaJ^32>9w5!RCfmQTsIEy=Q_KjE+qmaPAmWP=iX$(LkXhtZJ`PGb`cwi((IutA2# z5ObPM_?xx~5Yhx_ArJ*9;RsEdCXF=kMF5*Mda+r;tdtqe$@Y_MJO-sC6s;Z9D9gN~IPHv`H@}S^8|< zOP4p#UDD3MoVYBN$;w47CTr!gMRU9Q+>2JWo2?dD5jQodJi2P3__%VbIrMs*K_O)= zFmP=$s4|xmJ#5RoA~l+zrSxGqpS3g2XyoAXxJmF__T!?=fHh}0&d8e4o=rG7ZI}w# zxsQsg)UqXa`xtFtd@eLDNQVV^V=>fvbwL`8Q;xOvE03dRIgJc0ssl8U;LU7Mbm(A? zSqZJ`5^5UloD46inM9Y%*VxeL_DDi7+K~(YQZJyZt(~|%1iz3U1%I25;F*o)f%}Jx42c48)xLqU|4IyB|D8XwE#^fqiE7WpC=7IFH8)3h|5tA z9n2^!iUtzA)UeB)3@;b@X|PKb6Nw3A(~4o1b~-imPAh~H+99l?33hko!?fv@p%-;8 zCDXN*(wkvO9`uY;VChw`RsU$rl!Ocz!DbLmIRXir!s}GolFP!+%EqilD5x-g&qx{g zc&xEs`7j)0DJ2mSCW4(Cq_JZ}s9>V0n3^aN{U#={rLoo5*5j*TdOYlM>=iw-Wh)sSmW%|}uq z07M&25e3mAT@)?Qbu_6M*X`Z&=PqB=y>zO8h`_(^D{@JFXB4U+$1MMUdEG^E3Q(_$ z=rvM-?G&TCgDSn#_D5(AO`CoIDdup>I4Ed1B4rJsj~dd6lx5+%opL8_8j2}~oIH5F zX?@Hv$G$bDBsez5ltYf~F=gP(4Crp&KgJ+V+aoQrMI)?uPXiD1XTZ4DSEOv2!0t%v zkEuvm?Qt@R4b_!iXI)dhY7Cdg^0KigI(llD;Tce{W%@o}nU&S+`~3KW zDSFmru*SQMX36t#y{QN;6v|;&n+A`v-f1~_ip0Z(wn4hD%H%wlqZh$ow^KZ~e?xFN}1oK|lhOnhgTz z`OYxtW+x*H*ln#fHO(F@tQsm8*Q|#8Eqv6DNylP3aCZfz+*96 z1gBjb^9@uKz>A9)!o`Zo;?b0{#EO}#gd&~p+61cUb3?ygGLnoXV&UM*cjJtB^U%v+ z&#DztqXn+@89@GZHZ15H3ag(AJNRq=5WkcmiEzlQ1%5S?=nQzAt~PhQf`08fHEW96eAasbe%PAuzoKzbPmE^5 zXnuHmU6G15W9*Y$c|<`Fl&;kvNlJKk5U)!w?VGDJ9%?7 z?Dr!@t|RoJ)gGTM9-rJ@E;Pe@NE}>92Ow{~2DT02al)Z^np*y}UKoBl@kci>GDnTK zwyLex1(!DjrMSEKPvUa;n4dAk$Z8ywj)2!r=UXN0X5UbenvGQiYkAksIpO2U8@)8h z#7!(bvvCuCJT!6BD3Nk_OCPELcFTI2ehMr;R0>~h>7r1>j+)TEt#YXp-xz88%lMuo zqJ~w&R=Sq9zXI0mQHP%0-lL`Kqn$Z0;nn5z4MWe=(N{a994kC$<{#ONV(5z_*(9Gc zMC*u2;xQs}<;RTmQ2A6AY(1L+j$>=!>xC+~a_k^EJ(dd_aC$#0l zV+-NAU;=nM(_!%VLGTU~!|d0XM2DxT(qV6|9|Kdl5@7vza?qVP4EtQgaQg(4SXWou z;%~OqO7`+WLh_*Iq!ntn7fM?>IMY=GXHU+BG;cx3ajGT}WZLxYe^A1yx94H4LfUtJ z5(np7lsIse@b5o9fwCsFI6IsAxbGyAZUugFS2(-Dqb&dvK0JI| z!H)NuLT4^nq_VoI#z33f+YGzUs^HWGdMT8^%l#^ta#;b-kMzUszpa!t*R};_`q~0N z2-1!A!|K1UjIXb+s;~DrVb@vpy@AeQpnt5CHMpJlt!DcV2Re^|{<$jNUDeoD>#M`9 zs6qW{TXZ`Xx}7~Qd@7T2qah=-0;JcQ-#p8GZjKNw&8_w<(<{= z#(#bVSwndc{Bn=@n-1?^)IrZzU4kCw;D9J=XkFmJZ~CNcIh_0^H!jvsB~bCDB3hj8 zn+p6u@a8@wSSdW~+}|=XpWD`4ZL6xs-6`*Z8@Aut3mZ2S!w0wSkN5g(>ssBk==T6Y z?UG@q?H0PseVdUrwYsXRYn-(B_kwo@We|U7x6rvC++k#{hCt0syRGJjz=VA|NcPfM z;N65^;F(P=Ej6xcEVPP$h7c>Q0g1IetJvRJ=BsWb7y; zOnjiFvZboIhTok|GWiD!Nqi|6ehirKoWsX}pc$=#W)xlsKGtf+Gs(|VO%e>pdnK8q z^Otf6!*i*mm0y)YSpIk_aqdVZ9RHAlMyp8?Vj80yShK))?Nth&AG+i7C*V4zZ{qSECer0kNgx zYmCxC_ebAmm~MD~f+x~}0gx5y8Kj;<8CiZ!4#vpJ##G~SvHJU{^dyyhACV4>q^YxGYbAjLzor+BN844)>m*8N(VmhyhJG4b$-?&*Vnu$h zp~HZ_3Sqs>S{@#O$hyA(*X>$d7fTZ9Cqvl(DqVj$1;?!`o22n0I#R*U(h=dMQU}Q{ z`ky4ehat68ruTmxKbs*Dt0#r>RNdXxz07JTv+%={$ibuc6N~iNj0xln8SarCokUvi rQ&dgvc=M5mo~VCnLdQqmcMKkWlp&d9nEz1;StoVpAG=vX#*_a7#+u@p diff --git a/Connector_stepper.SchDoc b/Connector_stepper.SchDoc index 4c06893868a8229133383c8fdd643e27d2c6c5e6..10b3cefd5394a492134e57f2b3d9016df90760c2 100644 GIT binary patch delta 5457 zcmb_gdstN05%(^jcNJkVCe~#U0oSUm?Jf%f8cgKBq{v4dF$3K!mY%gr+2wxB$PJllN=vIGa-vF zsAqzZrZPZt{asLOo(x4FNZ}26JI&a+AY=48pdoDyC>2*}JN7yDXUP`$-EMRr> zA$u6=(<&e%^(yUStU=!EHwg+m#$TnwVLj_|=)BQ}v|mxQ!D#mKVTWH3*Jq$O7~*a2 za6n+BDzDNGgCXqq2N;aUFUE343a?CTr+ro{Z)Y)<`YGj*GN~IQXZ>b#&?hkJCU?^T zHstnO{7kfd%HtHRGwC_2E@X+OsUD+fCKzVi0S6mxn2I|i%Q(zNw>g^jOWc{PMr+W- za?u7g?woqwj6(b_3wL3Qld-sr;b?;vcRB}W4cQHWXj%@@^xJen-e!n4Ov9bs?sYL{ zeJGli_iKu_=^P=KJ;cHId2+Z%D8BH!FievIs*QH)8mKgkdUcpu{j;xxQ)T{5~eYT6(#7lT)D5+DZFdZjN>6`wOJ@PTH;0o1F%?yZ;xCPTJ1u!)A}k z*H0jIcG9fNqh~mSuAiWi>!d?2z1eTFhWZJlu1=bBTY?51@52+2++sxTF4}0a`TVTT zfN3NL5N}HAy+*S^eZZp&_X(n$FPEZ2dP`96@LTRsFMxzVH*GXJoDPedMdNFrD%eHq zf+2&MF|*O?5SD{0n=&FFGtt(pbKrlKy|%=`U@ zK+q*H?k?`413t#+2^z&uYUgy(!JyyDxI8x0D2IC<>ZVPMSMPG#jgXcn9!Bh_DX_su zL;b^-X@>JSU3NzR>tYQg&%HrgZ00cL&=DO!Zxt3;*k^QELp-U1=%M*5N5SFW1i$gYj_Rs-MH+-^pS8pLC!o zCzAG)M0XN$7r+gH({gG$95|2&3)d8*TqmCnX~h8lNbKmiZVZe$e7b7TsZyQ zMDRX02Kc6A!c2ths@ZTE8EspVq3MxCc%vh;W?>>ER4W0hlHgE9Jn+?a$f{PsvFe$y zy*d-rH8UZuMgdRPuuxi)2~lP&oUa}R>5vMaApiU(8KgdXPfb%Cv~L>?+hHQ;Kn34` z58lId(Iy`lHmRT;*CV)|(n#Un#Un*^Db!yX0ri_Ff@!k~9BL_C+iZiQo2SCNO9YF> zkHx~F)>znFs{wN@s!T1= zp!(R|uwx5qZb>3n1*eWCf^=&U9N$82X$tJzIveI~O~Ou*L)Nx=Fl?I&j&3V~t+@K1 ze*q>xuY%O=ZP2nR6DGf~0uv*4S|aW;g>F;UDdDZS@jbnkfc&w2{nm*x&Cr3ejcBE} z#TYNr-jN4>vN}{x3$7+f8b~8?U(Mbob9yg;ik`ddh z75`E>q>mHZZ_i6koMBGHe-{}Fy($}CzTI)hs>edTbQH{aC4+VaIDIJSA@JU-8vklJ zJh-yQ+N)05?BY0s$LECBkCJ1uw3^$^^6<{3RPPp>h1>&MnGHp+DPuH3!^kDs`91wo z3!dLA;fq5l*dDhIr4Rw>m(te>K9SPT(bgPRi2AM6G?6t88wGs|Mo6nP;m0i-6fs#j zcqiB=MACkV^hqt8c~dF0q(U++OX!u$&kMaG6u{EAia_(0SO7_lv!VGdu>hWLoCk{= zRq%^lB_P?Qf`hy20Cr`<`aiZo+T#jn-8~6bozI0Yqe@tOBC}^dN+^SkyOor>4|Lmh zzY5=;BpP~nvz!C{9wjuNnjwJfu06%5c_+xO*jtWg6fw4t#+hj6{BE8kL6X~F^ZR|} zpdPJ&s{Ms@7-z0AB<6LX-pGIyR=>Sch+6heA#Gv#pvBCFf6V4HJ}KOMXC+=2iJ|Vh}~dP@GT?c}EGTR-6?;m0;(rKlawcqpDt=YHB}nxBsy?((dTp7^alGtRqlh0@a4@> zu%>1N#Gg)plbM;I{V;>J2ka)JgA*QdcLs9i!;Qj_CU)6;4Em!CI^^{-2Azk;{44N; zhw+grM5!JN+{Z!WBwd^VML!z~jVJCBut5E{39;&YEP#fy$#^VrcGiOO6Oubj4%T4H zCCoQ`d+BYs|5Gt#I2+06)Mk+n_QL~bl{f_}&Zgl11P?^MI6BvUrbM$S1NC>JnTbO7 z8~#qfMj_E=1KW`bO~=VFP=oRFB8d517?)7jkq8$)pN+Fj%ya3vxu8F%s%b5OkIt!} zy0s2US~DTs)<&xJ{V8zpi?Ij>vv9ZMM1IeXK!F80Vm-)_yA^lsCy87u0Kw=B;VhZhm&)s z!1jM-(4LUqV-K;y%fC-`+*dnAv_Qp|}6GidEU88}tk zHe=X3z}kOz_F@FqfYz1?imx+hz1e1F4aN|u0ZDeA2mw`JS5Q4<`?*ALTwIDrJ$Nw% z1MkiG#!F(V5TJ8~^iD%~ztk$GDHn%=B1VfWlJO(olBqy?7ay8J$_0U#@=NVXv?>S@ zLNV*aj5q^^cTB))AjqP{C#&~oK2sPDvgb(b3+{C*Vh*E66Q>B;{S`GIaX?CYUhk)Cli z2THEF;k~P(?nR`Z?aYB?*FA7|S2lFxx*gYw8y?8$&IbCX8(!S=J?$cgNrs8aY436q5Kzymk4Bc}-QB@&((B-Y)^j(iqF zJ-vA>l@r+-OONKI` zQluUnN#!Ke;a?xh+$IC(HWp-MMe3zgI;ba%fTVptE6mEtDdUzdC@Eb&XZeCfr3IQY z&*P6ixM*R4cm9IX@rI>~mMtr@F4H}O_w#1Vi<#F@tn2OCV%pTvpq_bIl$h zo(rArDjY0l0hc}K_xM8ton5)@?7oYG<;?VQ{C6RvanT%SHLSgv^^!w39M?@zeKr(DmeXM~132j{}gmLUEy&&ovF z6RGKYn@3Xe*gc~tg@jtackF2D0=4HJijS3*$zGSqA1Pv<3Vqw^3d^rVs3dAM^-N@i QoO)6sP1@Tnr-oAh2MM_?Pyhe` delta 4778 zcmZu#34B!5xzC-+awlMhBnTv#K!!LeB%z%plMRB-zTa8zYzhfalaM|L%R@koS)Q#J zTuAZ(!xl(HB`Rh4jRiHRP>Uc1MX|OP!~k_c`yv`41R=cd+?xSI%kP&t-*(RVmjC(A zxilY$Z+<<#O)h;VZsgy%xVWd0r0{R}=FOW#`V{`P?sW?Vlsw7`j!vXmzscvevSyGL z>Y({TI#d~_!wZ>1wA*Yo+5<)-bW58-CK;rifv`4Ycj-963)zE7-he*Hup#J@wm|Nb zLE7Nc8TCF!&lx!u)!>3)(|mZK74isT3X1I2|koh-u3N`Mg=tK9iK9{Q;*v6gG!rQp{gI zymgU=cFQH&6Jiax3o}Y7vR$Qp4y(zo(RfBFTJ2Y9EWFd;^x8)$M9fuM#~Q7kAO<+H zJGW1(^D1rjIRX~5f3!m6zDlziui4;mvKR%aYCg1jhUh@h<@I^}IxHdyg3pu4_cLv> z>caj&AP`eToooq3o0*W;skb^~QcPLM!zP+sXOi;q74C!oAH~xoFIM95Y1>T){w{K=LBo#4$(S?&uZ0j?l!~SRYSDh z${HA(mEVG7bnCnyXlo#>vAMN2oYn-hz7fHc2Y;ZAtjVnRdQ2cK<%8nSQ$9Sy($MwL z0Ig^JUai}yLl87W#g{bn)TYDiatAE(Wx>{(M`^RsV9;s}`e;t=<5aR64};fabMVlJ z9-O~Cjamimk4WIz$0U*2sg!tZagn^i`tbiOtE;Jd5Ska{Kx29e?Fd@EdavFMshb0E z^tm+HvndPScIu?lGi8dLewim#uwhDNpy%1)#&xQ-_5}460j{KZP zCByFaBCvN9fHNxt+B%Zp*Bz5#Uxx&4wu@m!$GuPjg)n8|1gP4S0jZD&uOrV)7Qz25 zybEP1unH7Vwzd!^H=+#e@Fvpri->&+w60aa%yoruTp@z**V>^MX}eqmi`QA9eEkd< zJ|Tij>uk`wz5pKoFc}uFx53Ov0erQdh2BUZ(96g=2~f2%9-fS-p<+WS$n(cWs_w!7 zkE62dy-b+6ArH=Mn24Fkg1*CIXxuOt8a9$lBw|*0S@yMJ^K13cvLhS!;88Dz&vq;w;Rxy-odoqEp^`&L^5Z|wa7KAO)ryq-GJ@E*XRV$F`1kY+a(gs;iqoz8OLWal%nkc$E1+kH(iwT!etG0Y4Vz&rbMX_wpRU_CbSj>;00+_wGg z>rHrqv*G(yDKP#GhBo;;wy+xudWYRz0TCSjYMDS;$^}D~elvheznP0S6BE*z-Q-0z zYU!t05g5MVrgTr?yy1I-vsw!G9>`4!F=iiw$dKkpe(BM-2bwU4y!ZQjxW!w!bU2{Z zY8i_KQN-ECV00jy0W-c6!9#DmFn+#?wg?q57?qYGjQ%PpRkN{U5(L%$NyhcmEHX#4GaHXt<<6tf_AcL25m;qqJbq%U5%~P!&!n?T zgetj`1JAC*x548&JQtYvehHp>=zFga9(}JG79W`bV~~Ey;F&rG z4xSZ5&C#ccL5(9Bv(4j00A&4} z<*AQIOCpPkJ0@}Mrsv~a+U>CET_)py_GRmIbp6zFzESm`nnP=h#*js0)F5j34VHZJ zDv6iigd}$Eq(qqe*Z;=k&U;59P+`^HmB7M(t%psYjucv`LIB`x%PaH1`N~@OM@vk$<8(<6;e zpelk(*mx$KA(w9!ymERW>_2lGH?N!)L-0%`SUxX=3ukKJA2>Q5Nrkxsx$yGm3V7jc z0VJH=hWm6Mlfdi&F`PVm77w@tQ6Y{TAB$J=>~mrcMAQ37kj}nWq5W8SOtl6@ADrvM z-`(e52F1K-_%YUtLA8964>kY93&dv9Gr9oxye7V)MaP%D*lvlzv6=!%{VJFC`?RKz zHmD^K7%_t8uX;H*`cELBzh-DV8}c{|X3~#hHXYyea=nF^tmxqHnAD58wBD`Jg>+%= zo8s1T7khy;6>hn2@eStGX>3l9la!j+9GYLq>8{Tw4I;$xSn^nyV(yWZ@-G=aj>sb` zL!c@K#b>1Yy?l*?s^U_<@qvzil!FCP=E1X1uZ6PjN3Np;RY_5-_(Xja z{_9fgLQ<8K#0t+ZOZrCB5BCU4xfUf<;Yi+IO+UuUPo7$($tI27QAQA>@!T3`l^bOR&-+oGigI|o{FtGF|6ZI}MF3f@lE~mrd`cgg* ztxJ_Mugk(z8kh#QzHZ6G)fRmPrqLO;+sqB->blzb%PJJ|xTo>4p9L2#j|cfZ$#*X7 zyjdHLd_+@|;m0fLB(2w@x7%EhbLH;LBK*JzDJqmD@`j+YLajhfNw~NpTv#gyNmxm_ ziU!Ssy4w1M)%ECjL!j=VW%DaaWGU%;-MS$kpV&^4SOT40(==ghs0zd5_jM9 z!uU#lpDj06M@;dQdfok0edG)whAB^ENdl#g{GLKlVj@!01XNXIGojuhlspM3j}WQD zg!)=Y&5PVmQ$>-6c%&{7Dm4MA6(W4zVla`H63}*1GL;^Y3#r`5tVF6Z@+PvJ@?;_^ z9~d*jRVN`g9OJGLu50XwZVio`_O21`9ue-nHmK0x+g7E@#5BRT2Rgni>FiC}-u z1gei}UmU-066J~?ck%EW*OR`4`?g6**BYaQy`_9g+-~If9?YFzCtGc?ny8G$waoH=qmc8Dqt*T(R zs8RmQ*JySjE#T|yxpU_W2 zx@_jfSCx7$URWBKJ#*@;*5GA}FK%dQY;CWgp(WH#j`aT^Z)~Y=r)X@^&%12umAsm! zmZnTKO_^$vf0vr}l*ng#k>`A|I~{ykE>4auSj4}QuN2&=WH0L?_DilURIIsa zxyGzy(?O#!AUWEim}J8OSF)Q`E#b!cd-{tRa!<^52`pstn0+R_1!_i<%dRO%Habq` z_?%vE#AR{P++kv2@@Cfn#Zar9t3)_W^}{3fjP~4qewLEw;#o@WPpC?oCuA$xd16M% z^5j0{s|QnZ{iKYN{gU;Q6%!Lz^4-Z$G8A`tW6_8;d1A7nWNOOE9H%8@bh?77lDg@t zlIKlpS91SF|L&5d_IegFh>nPinp_{VQ?0U1JSn{@;Z{yOFhSl6TF-DBSz+{&-3s^Qc z<0oqrT4i9YyhT-T^q$7GHwc~FL5JVu3qrrbJ;&GSg&eyz>~zE1Erd>Q%X~fAWm_LEIT{yGK=nThDYa|KbW3ZdXgV1rKaj6&#g3 z_td~WH{Ay-22EjK$mB9W%V@IgtpeJ$TF6f>c;JIhu9(#l^mw?kmWLEWZdxbg?|JS) zX2?C&56{U~_E72urn=JCw?4r?x+g35rN=Vs=Ck{hY-Y*wWNJVE;)>q+q*}ribv$*0 z($%B(oO@~`8#4ZQ$R76buAY#}PxgOc1cpvUviO+~IwgX^nBO0So<+%TpXCvza8LZ; zlC)9f=g(&AIr1nsiK6G1%13vaZ4gS68;+^g;#O^bL;d2)ey)7=NX~gP+bJjiOEqaN z%^D>P*;D-%Y#Q;nd? zZ_0Mcm%nJ&bEo1HT*t~UvvqtU{l#@$@)a-R1`YpLrQ-(u`M(|2eD!NKfUFU#E$VPE zHTU>V8iX8&B_47HO;&Ep8&7jvR_qZ^wL9pRGaYoC@@Kn_^~r*>szk2m3+XRz%PY>Q zWlYbFeFXl+^xXKZlJ3rj5VZ+H2SroUMd2c$Q`qbZ*kbNv+kJ(puOtDQS{yNB)aS4> z?@W2L!c(dhQ;j)7wu-!f)|L6-vbKJ&wAmVhznMtXnpU{%-_I&!PKzKjLY_LX+rYKjN_7w|C2uRfx3276j zj+S(6z=n$K2DmEID)I*W)c%PEWTI3#*+(dJ%wOG9Hd0uq_z?33dTwO9@+o-j8ljWL zX^chf(UedvOoU_D^znq%6XwQUR+BOAW%V6(tJG)f_PP4SN7HSJJZ{$pV_Iq0^$u3h zgYvfuZrX3^pw|R*yIy=WrAAtqQ6qkvJ>+sZ2-+3W{_#Q{O|b}>5k<2mOSu%2g&19H z6Ryn(x!sn44!4M!v+JF|z0qvS$E#F`C_wrLnZW+{nY?=}+F8qwSyEOIj03 zDfg1#gfuBP^pE&d0+|vXQm;(pUgGrzEHgPdu3~tu^vSvDGOqNxc^#A< znwox85lU6m1ilQdkV^7dl1aE>|Gx<Sw7c=Hv>@2BjB2-}-IUw%y)Efd zuHp@ME1%q_v*rdmyS0NMhop{eW4-V31uQIe1OD;!8xt?r)sY_IUPsEdVyE`!Gs)a*@8 zUE4SH!9Jl;$cecu7JbYcO%*;V{L)=#Fhs+ygg2tl(AYPG3Of3yID*z37CzF2JT6Pz z>9hQ=(tP^x5iy7UI3m2PbH+j;v&W*>q?jaD%NRO(R4k-1$Al9)N5XCjC*nrUey#^f zM+-S|gDGZmTjHr@Zwl|0F|FxmpI7E8x_JRTFmZ zCMuHvR5+32v)f|Updn7rJ}DHWp6(%*bX9!++cHrZ1=xBGpnc_8Qu@)P0qIYs!={1C zm$Ct)xA3X%z*6%Ei7_ncB3^4a7^kA`G$gxIjAyXt_V*87+d~ZSD4ifX} zx(amKPs79sl)q3YqL~%Qdmmd6x~)R2pwbGlnwA}rix_dY)Ca@F%LSp4KHe)=(xFnA zv}(9GGPS!>v?}{JLNkhK$QV^!-j=u0Uo@pfwU{#37me-Ejx+d7w`2V{)((f?U^9fQ z;S}28ha<=1p-|LnWKAfdlO|EwOe*cT!-S?DF^IQfU&-}Ltuu?;yX7RJPP@ewG?BiK zTu8sh#2KllqhepwX0Sv}Hh-8Gq)@O-=;SbY{DDv`wJae%*;#mvo(&5H^wFi_6BN4? zBHJpYp7inz@hhmg`!exP{5dfnrrJ4A?DW9w%SD08*T9B(^Ta%wxB{EY7q1WpXAAnQda;4Zx)B3{BV9uvMM$5T0cBW|VWDeV${#cwV zDOELcfdlBZnc@jLGg(r}y*V25w0 zY#vPDy9=$Dv;wuBx=I|Nb46`&w;lA_EczShz}4c)q`zk|&ACr(CYldQi!T&%#+{~i z$`mY|E6^vGLN@HL+NNZoXwn%&K3`a`Pqfpr-nfCfED~2DK0Z58sw8fi|13hqA+J3a zaYqfB^Hdd5Ro93*fj%BA4JY3Iw#6Xx_&wM@n&KKUOVdI+;+5j$+mC2<@wMV&n%4r; zb8Y(1LmTT`VY#s18HxrYdYaNImSD?%=Dkujati6`-c0KQLT7rrRSdya`b(walGn+p zoqNU2taAefi`(F{X$E(!;6mwIUcrRb;`MaTmvA929^H**B?A6LIO^3nvv^8Ucdmg2 zsK;=nS%uVfhFn4AYazs9G6#J@pGh;J1H(;gMLkT`L$z-45uuVw+gNY7jgFWl;?l%B z(EqJX94pY&bLdsx?bl65EG^o=%;0v$EirFE6UkzDnRok=$IB}>nQeZt~&X$)Dal%z)9CJt?HYd%T);QWu=4%N+8gq@hfuJNYnkIj;v<+h(S7pfn= z108n)Bc0oy51K7^g3sqp7|bqfKx0qyEq@Y$_M+sV!jSsZ)1}r2?&A1cP8Ocsn_wHjg*xrnbAeK{(B;cVq1FR%T+;)!UfrhJ@c@ zb=xvl=k)JwLpPyYd2q^OBcXz3-V6GeE$p=hB0kOS9aMgFk#vfwoX?_K4!yS>lUXh4 z=Zg{AaUa4%AZQC2LeUWITAZzV*ZVQ=B%hnDib)6lGWzTR$clPHdc7fR(0HO(Ztm?H9s{k#5)Qas)@TRXpC4nc#Ud3q z`c|hlmyR65f_m0&w9Xw0g~CCTQDez&9X+;6x>M==>mCQ%3$>Dd0oQZHG+bsA0gPTR^)~?LiE2%04WelE}H5}I4G>HzC zZ%koy3-zqE!8)03#&AKE5Ep})9 zS42mj1=Xm7q9-?q9k4APZzm!MET(4;ia!Vqe98goB%R&wkGgEpaApSOWOJSqzv0c` z_1*Y9q?#>ey~kuXx3_?f-6k#P1AO%h7@}-;;nbsF1hvxNNy31KMjugHoga ziNyhqQ`jUrhO9k%U&DHjw})G?Ww4x^Y#R+Hd>vimu!rrAu-UE| zlwC31zfD?92M@rUlMjh2c=I@O=fk2q$LI3dy}pEjrXJ2{J^Nm1C6yi#le~4D_@g7x z+~P2s{R#NX&};#z*+<1ZrPDa+L&s3B-D-%%TyA|wFQ6_DNV64P_PrslpilZ}dr;L% z?1nCV6H(o4jJoXsr-62NlGyT+_uy*}N>^}mm%b&+wBTRj(oBE$I)ee?d<$(iTQEL? zMt5eh!emzL>$`FoqLOO?g(6ic;Zsu%JqZ*gU%VU1+CI z=uIJ;)11}8MO5&fc#gh1tgI9B=(?vd2xtEr6(@XVcgPd;YRWoP{I7qDop9#Xti+s= z2SHx@0V6jhT-I3Brz!0~e%l9PPJ7hJqt`x!9wwhYVlc*>RCYYwWksn8$HfA5-q`vP z0*uk^vInfTSjNd=56tT)#Gfkm3K`Pt&>{=L#AO8km`!hAg) zYqXz2gd>cI(`){bf-{15?sOI`}?DY8Qy{Z`u~bOnV0kFtt%Zr z0{W9*gWln=Sgj_%RWqssec&6hx4JmuO6@p?uzT>NSgvz=gJGN7p3qcwU>NuME{81|vRE9NzS(+Hrys?0 zd_lxn{uqb-UP-Q0Mw5O5kIiG(M`HfiU&K836U4Bla-PFFse-!x0`h=28j2*$-uA7H z($xAx(nLOtkN=_$W6t;JNf~E6tx`97;#X$Zgx~GMG~dyi=)_^^63)E-H?fU7KWEOX zK-*3@%4yN>;I+EohvB&SF9YC%-^E|j=D-{ib9lYxm{l_{+W@I`e~RY|LM@NnJOW-& zfcBg@%dDKx`@_MgThk@YF;&KDIeJd~A-z)SN=}znw{e+d(wRfPSKhQ~# z)S=zQjgc@(lm_U6hLAI02*ome!7F}Vl+N)c&gqMt+P9MA*7*W194TP}>#kOs0%h-v zCnsmF0|26x2ILqWcE8W!@ub5quTlHHbR|_usO_=yq-E*F(#&#Pe5pVg%v=U@j7@&{#p9i&a$V5sw>V7(cP47+`$#1Z^z9;LtUxzS zz*#k`e^ytR*K38-I{eO#Ec8}a>725Hs@#+>A<9O=@vtZ2&x|=%GtK#2nx=$6shhMi zGo)A7$T~W*AB)BhyFmy}f;?uY)u-u}?rzR)>Mp6{`oc{7m|F^I=^4qxhw|wn z>4wZu?&`+I_O)Vg2EBTp6M&B9F`7C=DuTQADv=(?pI1txefTry2S6lP(T=7s`%7+Q zOd2Sc(TQrwOq)xkHOMF(l+IXJCfShjZkhBP{@htE?ZhAZ0AA0TU()p)9VGRlB?F~u z6rLHH=IuR53L@k3LDD1mb4^7$|GL3E|JY!jzhsE?Jc_ISOjq{cP|1pn(?g{L`19y6 z=^yx0Fe=UcYK7!P#)NMfm;gTL1<3~tO;Lookq^I!bsoHe$ z*m9{04I3qmNAB{vbnekn(uK&Vs*;Wfb(^ZCr-i!IGu2X8wnI8xBfW~x+iNAP^iGW7 zHmpc4dtXaZy%gX%e3EOcmoAs;H1lY8qg2Qsp<_deX=zuvF8TW4ywu_bsh1#B(V0nN zkyKkvEW0`NJ73&Bl;99lS6TrAbr)=-%l!|o4{HaF>ex{L-| zAt)U4TlBVIfV@_W){H^oROLkLPg~KX_3wfWDb)_I+A#V&7DveCbutvwZW7QUXr2SyPE*vFFuEfDKliQ77zCC{x=6?qa2b8}|DQ;; z-Dwv@2)|-Pal<|5M$LdlBF042g;hniUduevMtWSvs+4O3%T>@7UeNnY5obK=V4H~y zJx5NzR@(i$N2}xJ%J;)uF>lBb4OoM8=0_QRT~m_*Ob>sCN$7sRGzGTc=qIq!TWIS{ z=0gFAt*DD={2b*=rg8J&QMU)7fji=`h7A^movIpe@DbQ)1%@MEX>hhBI-s(1!cwiy zX9&9E-k1}BX%+_oFx{xgyEy`REGl!xr_X_LmmkpJMNv$VR;N2+)yLu*M+a_TsCP5& zd|DKfl&vGU^Qr$Ln93Jton{Ef92U0|h-$W2AgT{4jOh0jJW_MU_8zxPfZ=eiWA*t0 z9tN>8KjRiUx=P%mu+E;ySQQK9Q}HA$X5!9p)ExCPcr{C03h=5C&_>!W>Y%Y+2nGFi zqs#1cxqzp3;J8rgqAa$#UcB$~r-DA_jD~DBqZ3$ahQ5S=rEXE|IB*)~N`?_|J6^g1 z!{F>RFnVp_gvB2T08`Co1g83^!dQM0n`ALBb4KGzHta3}V<-`W?ZX~`tJ#bIS07Or zOD^HFv&wkr5;i-#ta_u~Y6sG%@T6e7IA-Ux3G(QqRjZ?;GbB@vJMJ(CV^$6%DB%gj zB?Me`r6S<#%OIfLHQZ~2;q=nwQhAQuX^$GcCXTYI{Zm4~Sl23S|GXmG5{s^cULIG_ z;_;bd09&)$0?(cRjrlnG*f_9tI~)`n+WKzpt{6jCndW?F3T$<)62WF%C0$SJ zXUN#g>EvN_;%yxN8Lmc)ZC1D6t`El;-l~|2a{_UFu08hU(z5x|V(tQvI+}b7SSPwX zj)2o=ZSN38B%s$vl=wGyp*jwDtib?s>kQ$r-v~7Uzh*ZJ@auB6Fjd1+`;fU|F&gHH zx~)dLg=4Sn4FmG}EtArhPG2isBQ$X*fSl2Euob$+?cOlX>GgnKv*Zw<*X@dKm~?XK zydB~~v9?(;Oa3CnBpyI_FM-s6&l53v&33?#Syllqdk-Oq_NAjsRfD7hX(er2hOiQ_ zhGWKX#M$1pidBHpa>;r0#By~^@cx^z0&|zgXL5Nx5suVm2?JKEcHXVmWBTEc3uiK| z1d}xk7&9FBD-%Flm1)im(h9VWTPA-Me99Y+yB*PR9Efd}QBpu`M`E+3x<(#-YX#1W zQG|;VH^P)bvo8WD*#z7+i;IBUZdUvQLrF*On=<}^!{jvpn~HB{oyX1=!fsPXGXlrm zrZCs6&bG!iSHhb+uYpt?TG>odcMO1Twp0MRyOa*BzD13c-2NC^*!DRb_J~~{0JfXO zlLEHuRgbSYZTrOEvyBNdha7QzB!NYLhG{ufoyIJ7s!iR+onT*gt(ROmCR+rzQ=(x8 z@~O^POaOZ4Q0YAwj*o1>{(>J>EqhbyP7{-oQ)deYtu{|2f(@}M0g&%9C7w*YH8WM@ zQSL^x0%v=cnB8X1kYhY@@OL`n!IASOXw^PP?As){bS9t0VK7?48aXY3^8g7>C~}_M z19#s~(jw*PiihLLw?UfCXO0-cb_P}`%B6q|1CMSGja~1MJh?tS_Vf;$rhA%b`5kOO z-99C}l0v_Ib0_-S5yJrR8NzIX+wRI76uwf?L2*jA zMLJ&z3gZu>*-cME#uax%h7TaRJ%NY?G%zD$(`(`?r4ugQ3K8tMiu3i}Cb>H~>_NNT z>ZQ3CN+n8cEKUI=PS1y|{*Ug#pt8ghCRaQWVAyb`>J-pn^*oMOdHq4C^1*g^kJk}# z;>vmg@Nkyu1bDbr(dF=cY{|oBKqlk5`ynG5!F8tqyWf#Y9ip}eGrk6F_;(d};l23gi_oR$A?RW>hrAwdD4-EK=mI?WO8_tGRJ!qD7(8w@ zCCmmmXIyiggHC)+Tj|Ne+{4e#R9Z$qQa>mld*oiKk47xW@gw}-|bPpid2 z;h75W!yCXm>rwEsW`nmIO-M?^sO2%}nD86?dNvmNcaRK-c!9!=0g+2pyBIfY!K0mS zBe>7*0(aDi7XUc&_zO1>W6sToMh;ziNSsJ>HnFX6dRE9M43lB>%;Qks5DxmhaZ8Y$ zF|>Da5dlrUQBeV7Wkvgx(3LPuDrm#sA>JJKny_NDbQqZ&V7^G;(27||@Zy%1z>;ZsTfhc*!7&H}h z&puRaH#-gHXhIM8FRLR{o%Ty|dTq!%4`T<%I(D}q5%5I-@MIIe{3JY2X>aKVP#fc= zg8Cm|?Zs;WnQ+gu&z1 z1w3JcFKUPYK+Y!Z{wy13tmnDf7%mmG^f^>*^|&p5uPX%nIGg^n=QuvYyP0!f+*FYJ z1#kp#Bxj0*B7d>u<1a`*Wpyq_k6Y(*N27?k*1wPfM!s0-*SBBF?pF+<3flQHWcYDc zEat_Mv}3;lP`*eR{i|NdjDFm<`T&em{s~5d*Amiu?S7!1ScnB`JT=O*`evMj0xd_m{&63>egR-DTYo^u7c2VrIi^nQ zT#;9fK@kicn=z1x?W%kS?eewie=Mo+(sc9}zokU8x3wMFeN;Rn6CpNz~w*0I%PS>N#PIfoUl3Qx7aN1 z4x|8-&t|pn{*OTDjD*a1%@Rlx0zSS;vBrfTOIq4{LR!MdwC`hSa*jFTb(rIxKzfSe z$Sc5O)e5sdNkfrrX6XMZ&`zt@9mF}Tr2_}BW0hmXXN)5~u8)6)UW#DZWsJDuz;{)D z;8Fl2|ESzGLL>8O!xtcR#_;A!ANIGeKY%4+H#hJ<(l6>*{uHG4FVPzgS0w2208wf8 zOil{a_-AEZ#%ulaE7a;YIQ?OF(Ar*W3g|F9B}hlAJzq0t^4dfGppP9BX4J&`kfB1U zZVK2@_h+wB;mIF#e;wktCqO>TkOMde`q)jI3;;?p{T>|@P+Li77&F5ocKDn z2ECP!Q7k_v>*7X--WkR|^EU+{W=I-Vc^o1Bly@B;Yj9?qT1O53fB`Gv$7uPlmqtg6u!=Tp-4KP^jR4foc(Td@*twLBE4d21%xD2GkD%Lr=d{3>RoccO*uGf)kOrY%K+bCJXG5e$ z%Kekm0)gI%Lg3D7VPu$8P4mz4Lcq{G_X6rwAq}Or`)oS|-@+HVnfNDkspt_JciJS~*wMuqBOC3~l0bX-sUO-buN>#K(l36np zESuGk#K&eLeI$ZWY7Z8R_i^D`{qo43xk1Nby5S($>rtUT-xDO^}RGKjhYXq zVqK;LIK(2UMgh(X#QJ(FyHqG?N6rbz`6-Ha27Qx)p4ZnmG4#Bs11SMMKTUsj0a>*I zq1QK5D-e2noeV>_Gf1C9?DY+G3V_~T&JlD1g8m&@y2*oSd5K&iH#BOtP`991C^qB# z$EdBPUEc{^>6>oydU~iE)@%6*jJ+M*I1nZe>{ zIu*tRfmuD}M=?ZkF3?xbrxVYL6?9cEc|Z>Cenh-xeSl%(#U1JMsjRoW1;I#V!o8ph z>fHxSUP~-)a>Szllj(&%@)l*yu%xd%IVY@-cGWV&sd|8X z4ZZt+mHxm=m;La6@Zw%kE*%^w&!L$EWe4F-Qm!IAH3-711|biZoUVZ`|FeRd=;3k{ zT*#Wrwa2AN9lbsT^(`8Lwr#D3rXz+y)2&U=^lwAaj+UYFXhm`7Fnk^yhzia%0|rE6 zuu-XyucgI7CJa|*J+)D3PX4P3tFILOfQEN526X(#a6sl@x1cJa1$p^nQNhbCh;FB^6+0(Cnw`g<0pyZhFI%Y7IMlg%EC$UX9W280 zc8rsU(8zJfL+uObC`)Y_j}$*+s?y7=sHYwmIB=UxM_=pZnY33A65gs?4ET&0P>MF| zXp|A3zZpw##h`FO}xMLX@XmmR;wQ{^f4@BAV`!mu78FarMQMgP%OG z#4O8C-rNcGozm;QITu!Ugn7P%glc_ub#f1H}gX{6sDm3TRJ0nM3e& z{>hOJ+y+5RX}~%4N9^!!M3~ubW0|%{YG|n2I9|Jfv=Q|8?ylNm*<-Zp4>*e=YuCjva`+-pu`Sfu zOQGD7>Bt&!FOv(v{j5txU8j^Ysy92cR;St2Ga$tr$H@V{B;p{7qa0f8kkM6rn9*mXe12k8s zEsz?TYvD@$Nq4!tI=x72Xs*+|kzHI)KV2^GVN1heZ9{XT=5qeJEZL>cG^&fs{b}u0 zXze4X5l)tTK7l^E5+QKzRTze|=gLEP1Sow34*b$gcx$&G5Xz3ul7}L{3ssegT{>3} zYHe)|UA*Y(mfh9KQd0pfodr*?VxEM%wWvbzJl$;BBvkRp!uXZU8LuGTzcX7Nl&qep z)T`w47nh6Y@Ork*!D0)Kch%SL#dBG1QolV@x%wLMJ6=M|&FF~ueXv|$p4<;cVLuQ< z8|Gp9E$xT#TXhfKL!F(6sf51@YIADy>8`6}caF;$w439GID5NTAXPV3DfIXym(G|W z#4glwH|%uP)pCSyY{8gEEw9M^xQY7DXYnFoNqBJCp8e!Zk=R(3+%~<8J$Njnzb%A5 zKQ6%i$3yewF+3lS9t-J<1<2pD5c#tfsQLWm7V<9!RZY_Ou8uKZ#8{H0*LB@gnO3B6 zk$f?2>yN`$v3MeOdXXG&&g*T{cEPY715WHGkqZjwuq;|IM>CD+1+>XxIi zrW%)+asP)8e@2qpdaZn3ddnd-*3?lqXQqM;yKbZtOJK}pRpJnO`#RW`ITq*|JJ2Po zLY#0O6yO(#8f&XM5^VjnCtVm6u1@-@1|~~i8%isdK`r$%QDbc_fZ=Sll3PDr&q%3s zs4$d1UJk+hQc+`VgGSeZl63FOuhNL?vGuG~R@jZT&3~gZ+L`8VZG);33i&%UAwo2Z{Z@skL$fsW( zKmYujJOej)aD^ASi`mG>gv$uHJE!07(wdvl>?S@k(uIBae5><#0uG1X<<|U@swcoF z8|#{q)%%q?uz7$G_G>EVomW*?U0qvC&)$r?VKs4~K(6MLYdGcT9G}||s%@^q!@z&{ zq~)v8cL9qs5W3K}tJSIY^eu3rJJw(v;Ku+QiC{dA9|MyPLht7ppn3jrOgTm#P!$OKUNo&6y8RS+@>>G;9m`feo59Ur_09 zLSIEsww%BZBIQ%3HohmqEL+9WqiIgD7SA@td}o_sn`R@UFQj7>G}t zD%yw$+oUid^2^~#A1)K6>n^;0#3)gMQUtNF3zpnB>&v*zm2t; z#frZ?M_3-+u?oL&)pmcL*j!H|LZX{_%m_Msn|w8Uxm!Y0ZpWs_^O)S1&762_Pn&O- zub};RAPi4^1cB6h2W-#EX^KPYAwgkUeTVGAT+HLF?+(NfUd$q8yKBYol;IXTJMik=Jf_D>nDt6KW|mR_PAZv$Wy4g zC!U3zo`^6x?rym&)_KBOY*V^zK}0m!{l;*>=48GkH8!f;2*KR*y3nIrovM&8<>+4!wv!_qJ`m))xM#fv3 z>#JJXkD9d@=%7WwdUcIF9*tqw`6Q6iPfv)A^ubnC>JC|4@j%c_bMttt$2NZvew3x( zTlh(YwCkM*G^RCPjanO9V>K4ryBGb>i7{I!#C>WT z8WgSSvx)0z-MzpTI4vIxYSz|qxwQ@TN{zICI~HH{ z^!9dnm{7mzK6wQCyLvsQm;{?o@d!=9KW@BFHcd|rDOE+gPHNxR8^&d zS~Tc3Ws!UyTN)Pu9ZUJIozY3P7;*Y9#r*dk+8*QF`t5%yR+l>$?_$Nua;M9!+_y09`lJ^;+TBcN zWwfT3JY8tXZaH6UXdwT5gqU%MM$AsOK*CL8 zSe!-m-~J(YD{N>r&Ym~x;&~%uEj5j`=?{!))IWED+$b^md=iNN1C4E>^Y>!a)NP^M z#7Z((I9X{ShRFX>TSGew2}iNV`28Ppo>Eyqk+q}WD`O;L= zfc4k%C-}B?s{cNP=-_@ihM55HV2N1M%-2n<8r;u5L-wcC6^qn_`@n2%#*4~^ouRuA zpos4%n`-MbMRP08(2q~UT@*B0+f>_x<&Y}#*~;H2bP$E=g0QKs8tW;wklhF8tEsKe zf=OCJIF`DWcv5rzIpffAwPs#cMnAK%qQ+-wPOz$Q1)I$hY z!(Nm>;n?!1SF*PntuKMTmJLU|Fi=YPNUWv$mstrGQc8g`2=V)oMr8W2KV{TPCbkxK zWUfD#oyqqVqyEY3s9uv@!bjX2C`o?&=J57v_)wb-Rj{e3q&dehN1xvr+xqg?WJmJj zg;qK-NW@d#BZwtWUoCc`cVCk|^vQmp=64=J2%0rt97e6L!|`3dMA(Ykb?V-t3BhFH z1^9t3b!Rc=C~DxZx2q1J20kKr-c0t?`K?28d5%SIwgk;q7aKYyB)p?cAVo}g9=VQU zEWCIG-iiVo3>^k`z)7%~Sf-?o9>8`3bza0j)vZKV`tYdSsQhfn2o_A(o_h8%d3k#Z zukx=#jO94SlHuZbTJr|)8|K(pc`g66hJ04>d3y0pWT*Y3u?{Xh_dU5Nx#H7mdZtO3 zuX=SkOgZE&)lsA-%#qA}m3oq=11cHcSzPxvDop!Kld^SC1x|6|dgs61;mdozwP4D zq?xL@pVxk<4l!+0b3OcAZDtAEE4)P49*5TInNJhuq-T#~f8FIp_yQnnprjVe=Xf6p z0J<70vr9h0;lPRGC~Zgd$Z!JF*7Lb=q5MxeAbGe(_c1D0!5g&@OGOUn4WkX8utL7Y zU}f!?o{i%+EQ`-{X)tdbXjL3Ki84UhjeeS;PzA_l+1gsW`;`wFGzDi~UY z`|>(BR97iKv5OzuWyl}v27W?_i?>}jos{Qge>0SSnot9%4>9&yELFyTD?h-z38B13 zf%$r!$b$LscJvfh(SuJZSl?=TnWdJUmT#gNr`A2;N<%jN zj*ju@4JL!#Vqth%QM)pa{w^<18rCTy+Am$N`U4DpJMJUvZLW5P4nh!?+1r*ef6DBJ zU@;Z^hK96P(D^L%jNm8yqCQ&yJGi+)xu1v_@N66QmCF_YI$D30!+L18C z@^m7P@K9qabVTQu2u)b#rVtbnV2ZWPb$Tq((toL|+Kn9rUUI zXL&@_o&-5wIhD}y1Nf;yZ?3j0y(MXkSNRV+C8yY7j~p#t&_@H| zFn&CVAB76d$udADb38>%t&xI*j#XlAdMH;rI9WcZd%B=2js0FyPD6+5v<4`CtSd}W zM@PG82hymC_%)pR^R;}DQX&HN#))W=)C?RQ<)yU$eC@t;_-t-yqWsBN+_aZ^CyTe! z6srRH>)CY7Z%^lIF(rp*Y3>=hX6Pg;8r%IBx0Ip%f@d9?je#Uyu_{_?$71 zJweNx(7QdmYa3|6crAV-tRFr%ch}a_zI^RlG@u7k&v(-X=pmMJbVmwX>UuiXT^po* zJ+*Zd>w(nxUPyh!QZM&H>7_kE=jn~SlRc657E4{-3#o~HkoujaK4qyDy+LdPAKbQ)QYyrs3;<&ctxh|Q3DU$dp!*j~ zt!Al7&Cs-G3sOI_)SWDK%V?y^$09Z80?_SXsYfq>41~WrY8->qK9)K-2E%Gwe+(=A zc#zmzw0&sTZ-RCZ_d!57wH-YYU$u&V0>S}=#{BbD#(A_w8xR`+nKLWK#%c$2RXA%^ zU#5e@5vF<=Kze+vc3@XzGk_&s+N~!ly3>Gou(g|Z09`T;eL2pE$eUk)==be7blE3H z@K)tRUznqq7Mt*CGiV!ym82hp_~U;XXR*wfIW{kz>AO84^0#Pe!mu+p@7OJuUjK<=?s-zySLV?|)b*IK#v>sUjLGWur7Ogq8)}fu(DYbR7Hb>YS z&~DagAH@Gn;4E#M$jmlTE2o~As5J?DCu@Cj{`BrA*LQo;?Abng_ug&2UcHRw`n5fU U$7udE?e*dmU+TAM+Vh0}2S6!J-v9sr delta 24964 zcmZ{M2bfgF()OG%uq-LDXwN5f*a*{NL^~vonkT=kwt9>8|RouCA)CeovoS`py+g-?(C3Y1uwerTmwz zQtd@qz|*CF{`rSh?!fa-{Cf=l9>>33__uri_@4!_v@RADyXPNA#X_$^=kn_7E6Nrc zr%#)0nW&pSXWH!gveMXH;=nfE>9fbrzIOVw^7^{c*t=r)cBKmg(oz>QtRmL8ZMwYRj%S!8OQp|WtakGc!>bk1zJ2z>=BE1o2NWw_Yk|rqPdBuWA$Q}*ahXO1D&2BTVluZ<_T4_Yo^@0VS0X0 z$TV034voidS3Mb9zqU)Pwo6uQYyQC4p^w^7kw$D6d!evH%w70)W=Q8ZM=fEa>H!ke zLf6>R;_eOOiar*F>W11LS7j?g?XKWLwWX=A$!%k!Dj!M{s-!9*RAXB)KlYyT)FC#y z`hddQt7ZcPEB#d{X!xjRl8~V@83G!=DMIIOm%BBLuG0%GgI2dL6fw}u3ZYx<^w44& zyH?JQE!{A^eS{A_q107y89o>XqSR>-BdlluIH)ek^R5uRI-^ zA@qo~Fmj@f8>b7l*a>5ziEDAGDe=TK+|bi}wUA-axlDSG*UKc#ZP;zm37J|~$ma>! zw5rXDmVU7Jpi>v+{05(Gr6^Rz$`%c1P&@B!txS33)^4%4BfQ^qQE!bVM9sM}UDQvm zOo`e(wsI7IQbZj+I?=>L-7qHcz$JZX3?y|&jUJQPVpAj?I1ZBPf;NLGXo)5zz5c3% zr0XsULuD1x6`RbmOhto*ZglE)p-022xf6v9y*m=}dvuQ2`ne$(WVhI-FJ#k}3vxzm z@%;BQ+)ke(?6jDutQ;$DT-YNvt|&Lw>ri&XOADq!*9~QhUQW|<(JDT0y7d21s@lgU z-hQ_-&33C3PQ|U^lht!swIcYQJJJPrulfH9-m*cubEVM2tkHYTk=UU}@){mns}V8+ zdV|^Mv>Cam4ei!HpEQNvV>NA(3f{m9>EdWdyp1>!af_Y!U_ubC-0bcANs;ke z<)J-s<*s_@av3{O!R>O3hMI?$3mK-6TN`njBMpZi87;K*YklsZ)1?SFaz~SZ{T|mh z3D_-mPkiO&LXLZySG9+bg|SZ0C=ZIDZzZ3&pevr`ZHl1RJeMHkf_8d-y6r)ePO*V!4s#o5=yhmuQo+Ywx|ERr z)X{$__{n1l1<$xt6dQ9qp;jolAlBkNzVz)C3*P@;nv!pOKS9W4@BhK&O4c-7{D2v+ z!=#OvU6@j1qlnI;{J9IXyq52bMv^+o@|Iem0!;O8z z$4j>i$G3_IF(&x$i3dgLo4-p)$CYk9&7Tyd7k{5<;)1{Y{l5j@^aBLG$w-KJrJ zH{|`Oh4%e6t=;QPXxZ1ug>E=>c2QE`>&~YOJm4Z9Ctcugl&ba+`0g}QuK6b+5f}C= z<%tRVvO>fJrBQB%Zj==~&Yvn+GlI zq_lFaldp4 zgzHCymO7i+W3?HW)O4nwkWFhxh%A5TM~*VAf!1k=INTat9AO&S0<-Xe@#-pJW6H$o z_mRq|DS`XaeoaC!F7=h#q?lZ4QI~v#)IDggPMNTx@s;{yBiDEzLo=z_CZmb}WndcD zYdjX8Piy8HUut3+_lBd^u+`>a8qcGrt=PYkCR1)nsr-mdAY}rI$~S~AS9xEuh0|y7 zYx0TNOlp_uPUfgWrU+knWi#OqS(m^HDlu^-x%B z{}NXlAAEywm5^buYl9xWn}=|6-ypCU-jD9TMQTNV+#y70;T&N>hF0hH+cYMxV(&l9 zP1$?=>Kjwq7v~F~q_!n$am&AFb0|^QK6dPe0-AhlQcOOZtlN^0+~}W6JStP#uqxTe zr&M|SzoyhJjvVDyc&Ez~wCc5dO7T^92s)vq+Z~BG%w{fs{OlSbxsk>{UYA?|W-ym; zMdW`VF(|k8OUWlCzC64+DG?XfvqgDS#O=E^*~rCx3y=RYm72$M_Qmgb&0Fr_N#b+4Nc(aTJlr{j@NQFiJQ2=NaKtrp@HmI=x|+>OnfXPVP#> zo=3RkIsA;GwlwVtAuH~FPFUMMBWTfvLjkLk^|FjE>=rX<#u4H543{GiG3#}PIO^R( zW;kpQX@YLEDo&cCf|lF{X&~)8Dr8aTkzKATYoIyM?P>B(P(7nR*;?{7)JWr;OS z{~dJfD8{obYX3*_k)3bi&;B8Za;7g5GP#Wbv+4jlT*Xgb5NZ&0?0UCR>(s=K+q=<> zKcRw=mBL6m(?QILZ@VOX)H)%``sPv?X>MyVF(O3Cqk=ZcN51lhn;yA%liDU)gU#B4fyK?u`u4Ya$hz4&@Y$ZCsfd{Le_E3@0QBUhzR7Kd=p zD@Or(wpdK$`t0OME4wG9z_8q|6AF2!hti;&J84@_c&&2qjB9#{VYrV^uZvi{y7;!< zq8srn5OxMN1_yoKPaMvIF3r$FMoWJ&H@>*9co$BZRB8|h#7C5fE0yymlO>;i9-5Q@ z0^P4H30HxkKG^^Tt!E7hSq=_Q$ufEblO>?@nvD9WjUY=dEgc~aLwMpR;IkPvlhqgu znCwijJbFei@+~0_>0X3PamaKuC&LtVI>UCYmA>dG=hBjZI5~cMNbCadvRflIZ7`T> zpgkR=3<|bX-$idm#D$s0urZ>EL?WukX#GQSxA?VDadR7CWo%}LT>A7HOz<(v|cKq z!fVADD0{BQ+!|+!Eoseg=^Bbo6|?B%7O@qTT_-Lh?FHD>k?X|K89w|MMW~?Z)5Ls* z?{5;;O1wFX>W9dgwB}Z^m>!%W_H5}e1sxujh0jkatw{_sd=9Lt-Bj@ub+3cg&~Fy~ zGgmAj{WP&hhQ?y>`?XO^+6Z%L%k5xkGhJLr8z#sJ7W-Es)vacm~pv~>Yy^4tvZrr5T^1h?ZRaNjW#+!}Y#=(pJ1DybPalyQb;&Bx5f zJ%KJxUMKda@*5zaA!tMDXm!&miin(Yp2#Q1Nj>&QJyV8_Plbq5jqwzZeL@fjT+CzR3B zav_t3--Oj^vrrrlt!|4UdaYiFg{N&ratA6}1Ecw95&Ezi95!uKA5iI&!*SZH7mFoA z>~L)!ty_lS7H@_Qzg@z_GlWA1hd-hk*^J(?RO}KcYHU|bLfrNfak6Glj-X<R3(t6y)w~kiarV92W&*I0gJ(7 z&<5P9p~+r7*Gan+?UZhUZ!jY`wh5-}oq!x6vAl^lp$THqcgSy8!^uPgzN8eiNC$uu5^-5qi2RF)*e z`OWYS(|uy8GG87w;2izw-TSc&9!nGuY1m0_=08=$s3~ifw1y5nfW47Vp0mJ7oUQy$ zYs6tNs-`4W1o9aI>4wQUv~CZ)j)$Z8RtVzvhqNKBCzMh=7bJh1SkG5@0IgX8A@)58 zTC2_Na$8_L_cRl_({^!*5EG^sP*G1Qi}uY1{h5bAA97l4&Hw`I-AOre7D$-_HQoSk zc0D34=Vr}A$?zetARhVqJPJ;?)*iLF0xp%a*+^?1ZCYtWv?0`GCsgAw`GQ)z(M@}w zWU7ge--$h+uPpER#A66BKD|3^#%`HfA3n>ekBij;b=S-JbZD12D#IUg+I0b6bkp7@ zCCA6^77GPv99COG18d~W*v@&y^x+=n8!mg$?Xd^b$%pP0i_%t*TloHcn36}Uvw933 zo66X1N}czM0~5xJ^+V7Pc&!Gf#zfn0O&B7R^gx3+NSPB~WLUT(L#Hu1HCDSfF>h`X z@$a7$EBLyVkof@o-RXBYG}fqA6>T=^rw7E503Nw2f$tbq^}y{stgQCRxHwbDf9Guc%5F{0nt=Mepp&Y4B; zvW;fo^H7M>=y%(~0h21XX)wkVp86I0O?9*E#G%lXh4&xaZ zlde+S^#1>dt?9@M7%~;K2X&H5=>8XxP`JG|V<6(ZjQg{@lH9WoiOX@sNd~AaYIg$C zIu2v1Mz1++(zy+(ZT37HdG#hKs*IfX5(3175p3Q(+P?J?#ErP40gu(|Z>FCsUlxB$ zQ^>+suuM^{C+rUg^{NidbkX)z@h^JfI%GM#PxF_MX7p>2#&2*sy;hH(&hJXs!^Zoh zDT*}1Uxzf_HObh2=5-8WGTQu}aMY>lkv2#{eDND%h9K0YW*z&nwuDZ+i6shpBYK0& zY-@_Ogh?0B6I4OV#eUg=6|yc1Hc@2KuTjTf=WE$Ib+UiY@B&xl0q2E8_5 zp>fAkq88BiTc!C*AKTs$m#67t>pP-MFZPsM(8$wB1n0lYePq@{qDWX4CLv&{4`v&K!e|w0fN`>Wdnh z8D+tvi;s(fP?u5>J@y`!H>`L0!w$D`)B8#5zfIBpQ}3ha@~e~U7HY)0-T47zvT4IO zXJVgd%B#2)y}DBhDV$fHhe9WQh|@*NWqz=!GdI026jQ}V=t6JNM>IjZM%6tzEzKv` zIqvyLY=uz9(o(pXGb3QrYob1@zR5!3Aj?gJhFd?yRE-h6-4XCQRmIIZ>hd44g+S{I z)E%kuJXUgWC+yKT{uf5)#?e{hHS1{GfBAg*xS#!3Y}phWvS{3ASiQ9!Ts6ey2v? zY`FX42w;3Tcu^)@b3huk=}R#WDJ|av;7cXc>wgF*nxMz;Lr8G{FQt#w{}bhuKDaA= z{WT^Q(E1}mgF!>t-=v6b-@vTM*GJu+Mm~vy|KeUqFX1)C^x(Icjn-l`S?v~|DldID z@r>_8scC~lj`=R+8GoA5yQ5*R-C|G;Xh#3~X|Y3M;gc?+&t&_u-yPAY1~;SK^n-Xs zB1bDBc*Fpbenfs_&}n@>v(u?6X+}NyN3nfsvdk$;=-64z)?xLad!$o|je##?^*IE- zih-CcH>_|YHZiXriIW#FbUNX8jpA>q)on8W%Ae3P_6!Y@bw?_OBh$*GdwxRS+?Nmi zft_bd3#mVS{WIuoMxEK}i3TY97p`|sZT&_3M@h>#_3#l0R!e?Gu+lp001HBa%X(M; z27%Z)gp=Pt9OR|HGjgxTtqGW%sS_3Ne%?FMD8-%gT1%N!@<-C0!9F^}SsdOX39YtxTugb!P#G!KrwTUbI{1qs~-O`ecn=W5b7>$HU^{gYbIQb}@VB6l)* zqGr3QeUr$H@~|ZRsU&3UT1Z)ZhS_R#YcSYMPLI`*2(?^P^MA10aX)SIGyL>#wKO-G zr)_Q_IWzn=gDwbvNaq>cQo5L$Ui}F88y3EpmS_1!z!i!>`Y0fYg$Q* zm8i^P7V4ZCPP@-*Fhrv%j(nQ`c~UH_zLLOjhRr33-0_#z7|U#QIPAy?lktGfC?0Ji zAs)btOX!xi=-z?t#TE5?(}^DK+zv!Mbt|FH4+$kSEerkW^%k8i!L60#KGN)N0Tc^D5k}-03 z2T3_c@i9hqWLj}V3>t^sd0F>Ac0~7la@n0g<U;M$6`rgtI ziu9CxsMy_;*Dvj#&UmO7Z!?snS1#x+8NqP4xAZdp937BoqeFe9S*R$!nC$tUzETJk z=le=e;m@P}r2Y64=`THrKkZ7Sckt)GCDNn#GgH8eQ!dTv0g@LLm&(&eTqnw9^!`Ap z7h3)tC^g{E?m^P4_%mKkGL~ilJNaQSAF)iGtle2Eg+bQXQ~@#vJyj-MiQ1fU=`EZE zKP#8AaCnR5^ekaA;2c{esL5E#1mTt3P->sS3kBZtbERaJs#LRLIi9@O{_6{XY)F;y zJylXq0o(uK)^eUyQC3E$P!KE17)2ZuR)NY6%lYbxvP#ue%~><*BheI!)t0X73Ez%Wde#dT9|}kTl_~j{5$PXqZX5DR%sUe3~&66FO^$Fi?d)!xQxcd~Q>So!#=2 zqhLBw#@dB4W{V40Ej!PR`vW76jlxo%vOpCfF?B1l;Mi;&_p+P{6iaOWCyKPP`x3u|S-M`L3_SVQt?c35cW- z9r)bnz-$TzH8_Z>9^+uHSW(VwZx|i3%31WSTbckqghQNr7m90WT@0hkUTHbovGd41 z3R+)06G87YFZwe2Lk^qO7Xhf75QcLC)V)XH+%*eR>9RzeD^_rLCDB9V2K4ZeqXYZh!g#aj z*^snC+3X;9HeDNrm1(^pdq}4V00mAT5Ge2tg=t?zTEP<;&I3DfWI6z;`l5aZFyJ(v zI51!X-^%%{MrZoWW{ce%(5OZ?BLmi}OYG(#93zd+^gEp)f5cz`tef6n;~1%}keq9l zvgW>VP?FDO_p=K@Dq9+T0Rhq7%w*1?i%YTQ8}AV3i51mN6V9T?mO;&$2~ZU7IoTXx zw}nBximyUd1q6_HkD}(QuS(52i*8u~#t{=Sw9RRuXO@&OlJbpyHjC$fW5fCZ3B}x0mX1;+pQS3ax&zN+HvrXM(s3q za&loGR9FXJi}5%H!&y#Ti}8$Bzs6y6g@6Gow_|zy03wwygij^-5c_{d{#RE#ndeF34rfIOceORoyh#pP6NonQ5eq7 z{p{d$uv^VGP1F&v0sc*}Gn9`2|8C~tjE>EamM1Zp#*fh-Dr9=fEA^qBGob@#z+j35 z%?^eKD{IC9O(4RB*bX|f1LY0UHJPTM-Rcbn3_yZYRW&C3q}?8}+9<=7KwF_86khkR4!g zYUq3dSbUao1G8ouHxIRM%m=+)>x+1i5dth$=;Hv3?`uL`c<+3Vk`{v6X0!Rs8V(Sr z_YQz~yCNHpv*sP*yjbx!1xlPu1=?R0p$otSS}m^3sq9GsfgHb}a3jiQP|ah^2I~~v z+^I*X{@)U*duGUM_8RpLhV`cp4J?@tokf2y#jXG(2Cv=N%E8f`8PRCitq(a3o0fCy z;)(>ayoL>zMISE*2lRooe+7s`P9**YV&%M?^OoDg_xW9(TDTEod6O!v{9qg%fV)5^h~Tx4dQ(Y!=P(nAnR_E7AP86 zv<7F5ajT%YFmPg>!R|?Ej?V|!^Hznk&Gq11a=WyE8wMW_PF6y$)!@b}O_$AS_5qSk z7Zi~6UdEkGv+ux;!mR`Th5&0Z80>zR5ukKBJwWM$3OzQpWePZx*boP!eYcA>4tpheH;?eaXH-6bA+^!j0IgvwaUkq6apx4z(J* z>(!gtftf3dbqD16m*j?kS8R8I&R}&Sm<09B=zuZ*(i91^>B2_Io}qJkeYQZ*NbXIk z4gn~CpL|EgqtoLJEanh=-iWiNS8H-HaH2^7pve=Jh_m}ic;oK7;f+l@V)QBS-gJ-D zvsK7t^Se9&oVL^ENx+vUE6igKfO-7A2v;0;IHFp!7028(RRV3k zn40^+`>|FwuOV#IM;H*18mA!ce1N_7;Tr+h=S|O|=RKQY48}kpq>sAw00`3=fG~GX zyg1_wk*(-l7hxA3Y_8PK$N=3qkmNSX&WI(=qTdgqZ~KGHOhb;4#cI?8HBRfBfEur$ z?DJSw<92Kpe7<~;*Ioo?;X~lGTl|`^)oKBBoW>akblgq>X+V$k)n|zK{a*G0BaMoH z6;D(8Kl%vzPtAJqqnJd*V%2&gHjV0v1XCQ7=)zu~A&X%NRHs)V#n_#Y!t9P(JX%Lc zC8YJAPp|HjzDq6fCy$|1m)90B0yPDcn~+4qa8qEyH`3DYkOQ21Tw11h@yT`Y!`F6U zQQbPb(P$4E7(&d<2`sA^bvB(_4`cZ16YLC?l}QiIq0JklezbHCTQuA%iQ)}}s<7E` zK#u1q{(s%xWKPI^A8yf~H2c_+_bwpoax^->K`KyY$pPhG6m`Hu z92tQiE>79Q)>NqA-2ydMV91enIh)$V;j(&mFD!!FV+j}Jbij*$RiZb10I=iJkkjUh zhPD2ng|eSXDmOoFdqzq^H(bJOyhURH!yPgEG#Wo0dp1Se`ZDoJ z{aQf5>7>C!QYWNIY*zv-=giau006tadSJlmWPM+fa@Yx%uNLnVcHW=Xy$mgc^v)<= zks6w*>$jJoE}o?EMS{IMGJ*!DHej_jg*L8Nz`zrgWi5FO207`xIEvOi28^XfnI}xY zKQ+FFar8k=Agpt0QwxX;mV?3*nJ4DZTd%_)`HM8}>GR&e=BIZ!^--fa09ccmKj#22 z%zZb9mVSmI*S(p{-#FXA6Y!mJZ(%@d&=o}ZPTO2K`>StBza_Ia&hg#bP@E;A*J6|P zs#+!$$BBUwpHot`#$!@0-SsY(0v8;8?vN>I8@Ejl*VmlI z0GHlliUzb7bzt5J`%gl~6O=IX@%z|`6R*iiPebpwe1OF@hJ2==3)k-w_RW|!S^-V- zU~|`p2$K9=8O$Mv^dEu49WZ))K0_$EGz@VBhCG#XZ2AP5aNuKUiDCurj{&f~+Dh(B z<0K)627H1PE#NeGqvlXp)uBm^tc&%ZNPjgYW;xXQIPB-kPoC)Bwtb{`QRzZl^G3av{9YToZ4^r_yCQ$Ds!mei^TeJ z-Cy-3+itWWYuKj?U&aFrIl(jQE4BwRColRR#=vfj_f&2>;NFCj^DzJ-tjFa8VWfgVXwvBWTFW)`44LUH>_;L zX$Ya$Y0Xg=UiUU-E4(-0$CDHxOy8$Z^!M)}fz#x*hwx_QGQoi-|E^4L=NZYBVQ}G& zmqq7JH!MKM|0FXmZi17~qCbPyp*0zzy0jFat8}-rudg_VOqwNGNI0?y!}q5#jR?(7 zuO}F=ILxZQ7&7&%EjkY~HhqKx-G%eu_2|uEpDnBhjy!V&VqArS zBLf38G-1fa^xjVZdMgwlIoaex1;>whMM)ug9Qg|;1ByI=9esw-=Y`VMRPigX1*n{; zJ@l(&1~cI0M8)*qq*15<(445ycL$Q_`O}4A5^3bd z2W6&TK-Y=N!Gf$o1wibl@rOg#To%CAK~w;-P7sbA1ijCeI6DCBL~Vz`QXLJEc`fkl zqhJAm{en;t2W;I=z$1X_1nt~%X*g|f$!URGCu#v|?_^wOxZyc&L<*`Z|VW7YMa+G;0JJ*%lp^ zGblP=t}f?Lb{aRJ>~r*WJCNW70Vk>AXm%5cgMeoLM60qfz#;T2R@c(eY`K6AMvHpU1R2IJUhSBWrA!<&rJ{q7QYDw=iePr@~H1zOqh; z{pmnQ8Sm&UeyhW&<(HoGo3Y^PPb->og}h1G=nFc@V=|%+U0CO^^1IW`satf$034bD zVGh05S$1TY-4TOMZw)cvJDvKCvckhnB&zl4hyGp-a{(094A zhYnu@q=b)+H}bi(E>AYe<*K(-v6c7CLdAm`Txoayj)amWNZn?pU?0#qugT(_OaG%F^8r|hePz(i+7k4~Zv*eE z!f2dr`v9;#G7u!K2g>)+xr<5%@XIo&xp5#mi1$mYOZ0+EAH(SUAbBKB?ypRS57|l4 zKgJzK@xii&UMPj&XLmqwTd8~l{ZNwDRX)4LoQZHG*O0jk9F}ruXx$*0_mWLV$}sbG z73d&Vj#8^ZX>&`EjP8iclItK=0a*?vND|WLSAuPHmE48)Rm!`ms2W{4^|%Lt>Cf2I z0v?2g?ZC_^gQoX|8R4ocUx`Y3v>Ni@4lG}%4s6+avkSuuXiBZJioMx&<5o2C93sNH zI=L^E)`Fw74nrKM1>G-oY%aB6JMyt&E#>r79hUc*A!y*MgAWH5(&C}uz|D_V)MhAF z7Pnn3#NY6zR<`9Z^xUexnGL@&49Y!H&tg&^TsdCyHQn{2K`_%ilT>zoJJk zScy3_$so7i6q38qIX!xRz=#Po4nQcq_Yp@Fg}J&veJx31ff-5%H8QT3$}=A zTBc2Fj-6`Jo{o-&8%(!Cf$zN_6vf7_#z#_Pm@m!8%bU-w@_0JDO&GGtE%%Sv3jt-> zYHIxt%wn(uv*s{1F0GW%1qWhmAmY(GeA>We zOjP=z*p_B|E9dipHhnA45v%b&7?;8AUZ{dyW?Af#d$f#%%`OXW1Lb^=_r0kRcPSZV z>f(Ut!C-ES58r`AJgP66Nthap_ITuF&hutJG#XO!+d`Mz7I>);j#vHhO2}YREp2T=*VOo zh^G7H-g33-DZULz)zwv$*G8R7$NcgG5QD$XuCA`8vWdWoY(acAm+fPB!vpekIv0eg z@GW~u5TScfNX~(6Euwj0rM`tiyEi1iNvp1x^Wz)CGTu*}-$N{3vM;uO zRtdcj!49!6Dp%2aYB^V`u0ck{tOxad#j?_*)p7fh>4q!irD8~+;}*G_2-2KUviILq z%SOqkg^HMGHScB!vn~&NSy@CQ$H=Q=IrE3mxN&f#(y?+Vxqt7O07v8BHk=%b74vKD zIw!tVP<@$Lv6>{??!>-58A{=vIR6QJkTz+&T%2jPL>+*z{QqVuq3j9ra%O}1w0D9$ zDpRZV0WS*LE+d8YVX3Z$DDB=AGU$P;WCbTb-x*eY)l9k+ zH~)CC`y>QroZs@9Ph-2rml*tl`p=Wlg(VO)*}SIU{~^HonOL48lljS zjnsOryjsZ?^IBBbRjJY$&nCBvvfYpzC4I%x|RJj*Us@%|c zEw@SQG+B>Y*i;GaosqOu{=H$R=?Gk9+@ezS{Byr&c?*3p9dk~7mpOU{LfQEq&{~q5 ze*`$4mI-)M^65iZjtw(qmZ9M{aq#_LCpf{;eF)H)QwJI|OZFxY(x@1nVG5XxR@{eR z9}0Gp%4$j#jEILNhOJhEg`ai7k2Ew}`#tX3O{vMXPsu z{1&H5`6(S4y_C`UHF$&n#*Lt^x)Gzdog)v!!0YcsZ68+q5v$#!)TX}N>V2a;iOGj= zG?h=eUcXVE2=#nXR78!R;KB?SXYO21wcn;5K>uMgb__x4dh7tMZ`aO~J>X3?wWC+& z$+t>ns!p->*W@B|Up81Qj}`TLiN-8|9=&H_0X602s-m=JIy6a`O+|~5f4%6!?sVv; z623Uq-ZFS}7xQ&~a#UP=<+E1DDXYt(Ti2$UeL zuBoh6ja3}u#+C9UT1dz>mYNYQTHGRkNZam&_ZO^^`=s{oL?o@hRh}%=#b)aI)3IBT zNfrMBt4FD?V#VxpVtVH`3{NG3Uti zxPA7J-)2`ml%%3BZbNVf*${lJrS(_Tl~&qg=N(tj_qRjQ%SMPp>DWG8W-4M9eEoh{ z^$n|KT%5xGTWV8hlXwRXV?mw4WwKfM4-4fhO!(ZpEj@pSyi|Fq!f7Y30c{`@@B#mY!mh|^)TsDjrg>xThpf9QWzXNytqHjybHT_o$81xc78JGOO;LA z{fNAdCTzr7DMqZWsVP(CFvE-OyS|YAw^9CGxrA0zQ>j{@7)xi8Clc*ITDS`5&*^J~ zc4A!(?Ifgv{FB^v_d&o>d*v>Q;pEXDcf-Z^D$7_?!|kSthE8>tI;fpkOjzXs;R^cu z9vlj1gc0geG(0$Rv)XsBTmc{9aW?Bd1O_&a@}aclKDkoZt!M*Y6!~`#Jm_lfm&=8k zSn)w@pw~=ny0z9CGM%;-Ub>@6P zClytdR8|I%YSdQjm-dj&8H@natk2?+yM~sHMS?rERXduxmK}azPt_IGWeX!^^>sBh z3&Z9071b3BBly`k_Ee!fRn*s^GF*u#P*$=hgmL_uK`w@79VUOzpfzpX#=HKx*ZkH{PaR|PN#Gl|pazFf8`Vf4irYZbq(eN@{ zHRHKM#>29fj+cnt;DYEUxkKPnhMN-p&?-27%A83heTtY%l+afX%jI%S!X@B%UmU`l zd3XeVAA%(gHAoz|E5N*Cn%?=$8;(=O4(=>vY?Ht)I5g*`aOn_8r&(rPxD?uiG>!SlD3WzuB8_rL(0Ko)4pABmxB9X#;LsHk;hq>=AM#j zM*1<5#_nP*O8Sv%;tultE?J8venPsei64!?8E}S#nz*n#IcMSn-)F zV(kjL)42I!u2@^feTQj?wSCdDFFm}UC5_&I)gIM2c={ri)zYDDNHE~JZRoRo02wQ3 zq(-daeIOmr>4(dQ$BqcCX$s7W`C8NSjDvq_SGG4GPzn*Hk1QBJi0?T7N{Y6%-E2sz9Rh%9Cgrqh8!w=2Xejoq@0!ee-G4*t!&e$nC8__fus8W9H^SsJtboo!Aw{JmB(hD zh2(JFTzLXCqS=AXgKrrFd*Zi3O6Osp`RD-7G};}4vX_XJ_@M?nepzrzPYt~s2f|y8 zWGctg4csGl3Oi}v)AB619J6@2yat(6QyWsJ=9$!(XR*@j7c=me_9=hRMMdn?UM1g( z6`krsPd*1j114|~WJrhbhcr8drhLjynFqNga)Rn6f-+h+Oqi3FRgHTA7RMlG47Ptc z9}|O>$CiAxIF(er_#%jYS^;;itt>}M)g*hNl2f%ljIpX{{Y&7-FF_nugejw~hvi1} z%j+>0G&3O+S=19RgQ-l>NL86?RNCpna#HRP8=2RJHohW%p+L=R-$W!x`t}H+5^h~W zyb>OLH7posi9`kSWBgENKAB&~2*^0J=`H-1GwC94H=?)I(@XFPzBsyp6Cn{B7As z8+2;?NXjuJS9{-HSi%zV5?GavI z&q%YW^HJH)nc1(uT>3<4M>~!p@nd}aNMSk#*R7_d$K*k=?4EdCbWC2FEVQG~W&r?U z5Jzlk&yn=|aX3Jw;s9c8O>AUujKCr zQgILAVP=NCxXpj}VUxum)G?dpM4HJ8k)4KrjQ(*%fak=H_so;aYSG8>#qxQ&>l3gl z?uQ|niE`Fu*}|Tbng9GLjs^hWxs%qFDuynxKDKog3u*3uX7pTkjBN`lkx?=k@4gH z?$70uIH@8taDAD6bTCl=FJOlZwgI@;S4j<iC-d#O-D-<}4GGZ2XCtS(n`mZnh(=&tYOWz@c(x_zv%OC|>s zY2*(wK1I{I0)CAyz<|D7w*1gc6V}J#H()*+m`H=ILu zJEyT3zPB_7hs-M)<+1emd4w2X{JF}zp}mdrMe=sVhaYd8mw!~grL_No#1CUC5OQCt z`&rhC6*&A(!v^O31$!S)U;h3X9)M~X53gRv9*+Ma@4#Pn9ODLa_97%&{2TuF+OP6B zMuB&O{!M>CI^67Cf-fcWY0+IXr9J~n zHy-Cd@-THpX_Mhp)};(*W4*c;ySc&)M_pG{s#?o`BdS7B7i@e%J(vCx)cNEU)H~?v zEOkz7#_G1zMO2@`PL%Zywx&`^jnBhyRI8&UYMgvCWc6nDJ3$4pE#`Lgo~(9e81zw( zH)1m@9~ISAHBrCCfBz}=fH|Ayv;bk$9S!*+e&tuKq%=ajRB5T+Ld$PP>QvuS-I4M# z)YgnBKEXE|@%vOom$2mMHV6C0vl;3^lDiBs*bX3~V=FZ>hh#%LI{P!Aa=cybP7STp zz2vIIn^dVz0mzG}OQw1^f-F-;i@NIS#Cz0adw1&HS{>*43|i|{pDFNqg8OrvvJ}Re za@EOZO+^%Kt3C{!CE70^IFD{@r{1q%Samqk?i&H6x5!4KJfoYs2f6g>8nJ~yo?Kw~ zk7Z+@=hb`Kt3M=1PV({T4r(to=BTS^L`Rh7w@2x+(BJQjw?`V-4Ue^SP3tu zE9uHkD0RI8r7u}&D=S^w8Kpj*zhUzK2&F~cQOYSsseKQ$KFLZ?^-!-SOLvrJ_f(Ig6WuYZR=w1? zC)ER`UA<5@q9;n4-WcPhMW@C1nmq=~-4<&q}UAD0L}Ce;*D)e_Kk`chjiBC`~EDJicNjp&Y$j zUkbWS<>06-Ln*%k+IW|h4ppFaLODu-O0}O(v(kx5^;L971xmB4)XNZqu_JU+cc*^U zpxsf4K3}W`#fU1DLN(y}g_UltRR@Ia9-+j z00GS4y|v)!JPh1_)`EE3Fvx~a)vBmpJ^HR4g3@7DIy?le(c$U|WF3mS^TWaMB`Yl$ zflj9kL#NV6lzwHUJ4T}WhJNV&j(U(>p;33HWBF|7FsNI$t88XtG_#|+ka`S9_m63y zz~_g9qfD#rnN_8LAqiV7C!rFAh7o9f8qL{t>CI)O_^pzDXg|W*N9fqfjzsA-9ppU4 zO1;{vYm$1Iqd~=~8YpTr9bS$z>)#r0ej4vpcSMpq SXQFzAIN25dYofY^@c#h#ePwX~ diff --git a/DisysCNC.Annotation b/DisysCNC.Annotation index d9b88f1..eec6d3d 100644 --- a/DisysCNC.Annotation +++ b/DisysCNC.Annotation @@ -30,941 +30,962 @@ PhysicalDesignatorLocked3=0 LogicalDesignator4=OK2 LogicalPartID4=1 DocumentName4=Opto_isolator_OUTPUT.SchDoc -ChannelName4=Opto_isolator_OUTPUT3 -UniqueID4=\BPXYAMLZ\IZSHSRRX\KWLMOYXQ -PhysicalDesignator4=OK10 +ChannelName4=Opto_isolator_OUTPUT22 +UniqueID4=\BPXYAMLZ\FELRLFUS\KWLMOYXQ +PhysicalDesignator4=OK15 PhysicalDesignatorLocked4=0 LogicalDesignator5=R14 LogicalPartID5=1 DocumentName5=Opto_isolator_OUTPUT.SchDoc -ChannelName5=Opto_isolator_OUTPUT3 -UniqueID5=\BPXYAMLZ\IZSHSRRX\PUNIQLKF -PhysicalDesignator5=R27 +ChannelName5=Opto_isolator_OUTPUT22 +UniqueID5=\BPXYAMLZ\FELRLFUS\PUNIQLKF +PhysicalDesignator5=R42 PhysicalDesignatorLocked5=0 LogicalDesignator6=R15 LogicalPartID6=1 DocumentName6=Opto_isolator_OUTPUT.SchDoc -ChannelName6=Opto_isolator_OUTPUT3 -UniqueID6=\BPXYAMLZ\IZSHSRRX\UOYEJCPY -PhysicalDesignator6=R28 +ChannelName6=Opto_isolator_OUTPUT22 +UniqueID6=\BPXYAMLZ\FELRLFUS\UOYEJCPY +PhysicalDesignator6=R43 PhysicalDesignatorLocked6=0 LogicalDesignator7=OK2 LogicalPartID7=1 DocumentName7=Opto_isolator_OUTPUT.SchDoc -ChannelName7=Opto_isolator_OUTPUT6 -UniqueID7=\BPXYAMLZ\KFXCXOSQ\KWLMOYXQ -PhysicalDesignator7=OK13 +ChannelName7=Opto_isolator_OUTPUT3 +UniqueID7=\BPXYAMLZ\IZSHSRRX\KWLMOYXQ +PhysicalDesignator7=OK10 PhysicalDesignatorLocked7=0 LogicalDesignator8=R14 LogicalPartID8=1 DocumentName8=Opto_isolator_OUTPUT.SchDoc -ChannelName8=Opto_isolator_OUTPUT6 -UniqueID8=\BPXYAMLZ\KFXCXOSQ\PUNIQLKF -PhysicalDesignator8=R33 +ChannelName8=Opto_isolator_OUTPUT3 +UniqueID8=\BPXYAMLZ\IZSHSRRX\PUNIQLKF +PhysicalDesignator8=R27 PhysicalDesignatorLocked8=0 LogicalDesignator9=R15 LogicalPartID9=1 DocumentName9=Opto_isolator_OUTPUT.SchDoc -ChannelName9=Opto_isolator_OUTPUT6 -UniqueID9=\BPXYAMLZ\KFXCXOSQ\UOYEJCPY -PhysicalDesignator9=R34 +ChannelName9=Opto_isolator_OUTPUT3 +UniqueID9=\BPXYAMLZ\IZSHSRRX\UOYEJCPY +PhysicalDesignator9=R28 PhysicalDesignatorLocked9=0 -LogicalDesignator10=U9 +LogicalDesignator10=OK2 LogicalPartID10=1 -DocumentName10=Connector_out.SchDoc -ChannelName10=Designator -UniqueID10=\BPXYAMLZ\LJOPPVEU -PhysicalDesignator10=U1 +DocumentName10=Opto_isolator_OUTPUT.SchDoc +ChannelName10=Opto_isolator_OUTPUT6 +UniqueID10=\BPXYAMLZ\KFXCXOSQ\KWLMOYXQ +PhysicalDesignator10=OK13 PhysicalDesignatorLocked10=0 -LogicalDesignator11=R11 +LogicalDesignator11=R14 LogicalPartID11=1 -DocumentName11=Connector_out.SchDoc -ChannelName11=Designator -UniqueID11=\BPXYAMLZ\MRUJIJTF -PhysicalDesignator11=R3 +DocumentName11=Opto_isolator_OUTPUT.SchDoc +ChannelName11=Opto_isolator_OUTPUT6 +UniqueID11=\BPXYAMLZ\KFXCXOSQ\PUNIQLKF +PhysicalDesignator11=R33 PhysicalDesignatorLocked11=0 -LogicalDesignator12=C28 +LogicalDesignator12=R15 LogicalPartID12=1 -DocumentName12=Connector_out.SchDoc -ChannelName12=Designator -UniqueID12=\BPXYAMLZ\NDFQYYHL -PhysicalDesignator12=C1 +DocumentName12=Opto_isolator_OUTPUT.SchDoc +ChannelName12=Opto_isolator_OUTPUT6 +UniqueID12=\BPXYAMLZ\KFXCXOSQ\UOYEJCPY +PhysicalDesignator12=R34 PhysicalDesignatorLocked12=0 -LogicalDesignator13=R13 +LogicalDesignator13=U9 LogicalPartID13=1 -DocumentName13=Opto_isolator_INPUT.SchDoc -ChannelName13=Opto_isolator_INPUT11 -UniqueID13=\BPXYAMLZ\NZNMVOSA\RWPHDRIQ -PhysicalDesignator13=R24 +DocumentName13=Connector_out.SchDoc +ChannelName13=Designator +UniqueID13=\BPXYAMLZ\LJOPPVEU +PhysicalDesignator13=U1 PhysicalDesignatorLocked13=0 -LogicalDesignator14=OK1 +LogicalDesignator14=R11 LogicalPartID14=1 -DocumentName14=Opto_isolator_INPUT.SchDoc -ChannelName14=Opto_isolator_INPUT11 -UniqueID14=\BPXYAMLZ\NZNMVOSA\XNDBZCEP -PhysicalDesignator14=OK8 +DocumentName14=Connector_out.SchDoc +ChannelName14=Designator +UniqueID14=\BPXYAMLZ\MRUJIJTF +PhysicalDesignator14=R3 PhysicalDesignatorLocked14=0 -LogicalDesignator15=R12 +LogicalDesignator15=C28 LogicalPartID15=1 -DocumentName15=Opto_isolator_INPUT.SchDoc -ChannelName15=Opto_isolator_INPUT11 -UniqueID15=\BPXYAMLZ\NZNMVOSA\ZTYPGICR -PhysicalDesignator15=R23 +DocumentName15=Connector_out.SchDoc +ChannelName15=Designator +UniqueID15=\BPXYAMLZ\NDFQYYHL +PhysicalDesignator15=C1 PhysicalDesignatorLocked15=0 -LogicalDesignator16=OK2 +LogicalDesignator16=R13 LogicalPartID16=1 -DocumentName16=Opto_isolator_OUTPUT.SchDoc -ChannelName16=Opto_isolator_OUTPUT4 -UniqueID16=\BPXYAMLZ\OIPOXSGF\KWLMOYXQ -PhysicalDesignator16=OK11 +DocumentName16=Opto_isolator_INPUT.SchDoc +ChannelName16=Opto_isolator_INPUT11 +UniqueID16=\BPXYAMLZ\NZNMVOSA\RWPHDRIQ +PhysicalDesignator16=R24 PhysicalDesignatorLocked16=0 -LogicalDesignator17=R14 +LogicalDesignator17=OK1 LogicalPartID17=1 -DocumentName17=Opto_isolator_OUTPUT.SchDoc -ChannelName17=Opto_isolator_OUTPUT4 -UniqueID17=\BPXYAMLZ\OIPOXSGF\PUNIQLKF -PhysicalDesignator17=R29 +DocumentName17=Opto_isolator_INPUT.SchDoc +ChannelName17=Opto_isolator_INPUT11 +UniqueID17=\BPXYAMLZ\NZNMVOSA\XNDBZCEP +PhysicalDesignator17=OK8 PhysicalDesignatorLocked17=0 -LogicalDesignator18=R15 +LogicalDesignator18=R12 LogicalPartID18=1 -DocumentName18=Opto_isolator_OUTPUT.SchDoc -ChannelName18=Opto_isolator_OUTPUT4 -UniqueID18=\BPXYAMLZ\OIPOXSGF\UOYEJCPY -PhysicalDesignator18=R30 +DocumentName18=Opto_isolator_INPUT.SchDoc +ChannelName18=Opto_isolator_INPUT11 +UniqueID18=\BPXYAMLZ\NZNMVOSA\ZTYPGICR +PhysicalDesignator18=R23 PhysicalDesignatorLocked18=0 LogicalDesignator19=OK2 LogicalPartID19=1 DocumentName19=Opto_isolator_OUTPUT.SchDoc -ChannelName19=Opto_isolator_OUTPUT5 -UniqueID19=\BPXYAMLZ\PESAFFAA\KWLMOYXQ -PhysicalDesignator19=OK12 +ChannelName19=Opto_isolator_OUTPUT4 +UniqueID19=\BPXYAMLZ\OIPOXSGF\KWLMOYXQ +PhysicalDesignator19=OK11 PhysicalDesignatorLocked19=0 LogicalDesignator20=R14 LogicalPartID20=1 DocumentName20=Opto_isolator_OUTPUT.SchDoc -ChannelName20=Opto_isolator_OUTPUT5 -UniqueID20=\BPXYAMLZ\PESAFFAA\PUNIQLKF -PhysicalDesignator20=R31 +ChannelName20=Opto_isolator_OUTPUT4 +UniqueID20=\BPXYAMLZ\OIPOXSGF\PUNIQLKF +PhysicalDesignator20=R29 PhysicalDesignatorLocked20=0 LogicalDesignator21=R15 LogicalPartID21=1 DocumentName21=Opto_isolator_OUTPUT.SchDoc -ChannelName21=Opto_isolator_OUTPUT5 -UniqueID21=\BPXYAMLZ\PESAFFAA\UOYEJCPY -PhysicalDesignator21=R32 +ChannelName21=Opto_isolator_OUTPUT4 +UniqueID21=\BPXYAMLZ\OIPOXSGF\UOYEJCPY +PhysicalDesignator21=R30 PhysicalDesignatorLocked21=0 -LogicalDesignator22=P6 +LogicalDesignator22=OK2 LogicalPartID22=1 -DocumentName22=Connector_out.SchDoc -ChannelName22=Designator -UniqueID22=\BPXYAMLZ\POYLHFMM -PhysicalDesignator22=P2 +DocumentName22=Opto_isolator_OUTPUT.SchDoc +ChannelName22=Opto_isolator_OUTPUT5 +UniqueID22=\BPXYAMLZ\PESAFFAA\KWLMOYXQ +PhysicalDesignator22=OK12 PhysicalDesignatorLocked22=0 -LogicalDesignator23=P7 +LogicalDesignator23=R14 LogicalPartID23=1 -DocumentName23=Connector_out.SchDoc -ChannelName23=Designator -UniqueID23=\BPXYAMLZ\PWFQBNWO -PhysicalDesignator23=P3 +DocumentName23=Opto_isolator_OUTPUT.SchDoc +ChannelName23=Opto_isolator_OUTPUT5 +UniqueID23=\BPXYAMLZ\PESAFFAA\PUNIQLKF +PhysicalDesignator23=R31 PhysicalDesignatorLocked23=0 -LogicalDesignator24=OK2 +LogicalDesignator24=R15 LogicalPartID24=1 DocumentName24=Opto_isolator_OUTPUT.SchDoc -ChannelName24=Opto_isolator_OUTPUT2 -UniqueID24=\BPXYAMLZ\QIQMTRNL\KWLMOYXQ -PhysicalDesignator24=OK9 +ChannelName24=Opto_isolator_OUTPUT5 +UniqueID24=\BPXYAMLZ\PESAFFAA\UOYEJCPY +PhysicalDesignator24=R32 PhysicalDesignatorLocked24=0 -LogicalDesignator25=R14 +LogicalDesignator25=P6 LogicalPartID25=1 -DocumentName25=Opto_isolator_OUTPUT.SchDoc -ChannelName25=Opto_isolator_OUTPUT2 -UniqueID25=\BPXYAMLZ\QIQMTRNL\PUNIQLKF -PhysicalDesignator25=R25 +DocumentName25=Connector_out.SchDoc +ChannelName25=Designator +UniqueID25=\BPXYAMLZ\POYLHFMM +PhysicalDesignator25=P2 PhysicalDesignatorLocked25=0 -LogicalDesignator26=R15 +LogicalDesignator26=P7 LogicalPartID26=1 -DocumentName26=Opto_isolator_OUTPUT.SchDoc -ChannelName26=Opto_isolator_OUTPUT2 -UniqueID26=\BPXYAMLZ\QIQMTRNL\UOYEJCPY -PhysicalDesignator26=R26 +DocumentName26=Connector_out.SchDoc +ChannelName26=Designator +UniqueID26=\BPXYAMLZ\PWFQBNWO +PhysicalDesignator26=P3 PhysicalDesignatorLocked26=0 LogicalDesignator27=OK2 LogicalPartID27=1 DocumentName27=Opto_isolator_OUTPUT.SchDoc -ChannelName27=Opto_isolator_OUTPUT8 -UniqueID27=\BPXYAMLZ\SJLJUKOH\KWLMOYXQ -PhysicalDesignator27=OK19 +ChannelName27=Opto_isolator_OUTPUT21 +UniqueID27=\BPXYAMLZ\QIQMTRNL\KWLMOYXQ +PhysicalDesignator27=OK9 PhysicalDesignatorLocked27=0 LogicalDesignator28=R14 LogicalPartID28=1 DocumentName28=Opto_isolator_OUTPUT.SchDoc -ChannelName28=Opto_isolator_OUTPUT8 -UniqueID28=\BPXYAMLZ\SJLJUKOH\PUNIQLKF -PhysicalDesignator28=R47 +ChannelName28=Opto_isolator_OUTPUT21 +UniqueID28=\BPXYAMLZ\QIQMTRNL\PUNIQLKF +PhysicalDesignator28=R25 PhysicalDesignatorLocked28=0 LogicalDesignator29=R15 LogicalPartID29=1 DocumentName29=Opto_isolator_OUTPUT.SchDoc -ChannelName29=Opto_isolator_OUTPUT8 -UniqueID29=\BPXYAMLZ\SJLJUKOH\UOYEJCPY -PhysicalDesignator29=R48 +ChannelName29=Opto_isolator_OUTPUT21 +UniqueID29=\BPXYAMLZ\QIQMTRNL\UOYEJCPY +PhysicalDesignator29=R26 PhysicalDesignatorLocked29=0 -LogicalDesignator30=R9 +LogicalDesignator30=OK2 LogicalPartID30=1 -DocumentName30=Connector_out.SchDoc -ChannelName30=Designator -UniqueID30=\BPXYAMLZ\UICYZWJH -PhysicalDesignator30=R1 +DocumentName30=Opto_isolator_OUTPUT.SchDoc +ChannelName30=Opto_isolator_OUTPUT8 +UniqueID30=\BPXYAMLZ\SJLJUKOH\KWLMOYXQ +PhysicalDesignator30=OK19 PhysicalDesignatorLocked30=0 -LogicalDesignator31=OK2 +LogicalDesignator31=R14 LogicalPartID31=1 DocumentName31=Opto_isolator_OUTPUT.SchDoc -ChannelName31=Opto_isolator_OUTPUT9 -UniqueID31=\BPXYAMLZ\XTKUGUHT\KWLMOYXQ -PhysicalDesignator31=OK20 +ChannelName31=Opto_isolator_OUTPUT8 +UniqueID31=\BPXYAMLZ\SJLJUKOH\PUNIQLKF +PhysicalDesignator31=R47 PhysicalDesignatorLocked31=0 -LogicalDesignator32=R14 +LogicalDesignator32=R15 LogicalPartID32=1 DocumentName32=Opto_isolator_OUTPUT.SchDoc -ChannelName32=Opto_isolator_OUTPUT9 -UniqueID32=\BPXYAMLZ\XTKUGUHT\PUNIQLKF -PhysicalDesignator32=R49 +ChannelName32=Opto_isolator_OUTPUT8 +UniqueID32=\BPXYAMLZ\SJLJUKOH\UOYEJCPY +PhysicalDesignator32=R48 PhysicalDesignatorLocked32=0 -LogicalDesignator33=R15 +LogicalDesignator33=R9 LogicalPartID33=1 -DocumentName33=Opto_isolator_OUTPUT.SchDoc -ChannelName33=Opto_isolator_OUTPUT9 -UniqueID33=\BPXYAMLZ\XTKUGUHT\UOYEJCPY -PhysicalDesignator33=R50 +DocumentName33=Connector_out.SchDoc +ChannelName33=Designator +UniqueID33=\BPXYAMLZ\UICYZWJH +PhysicalDesignator33=R1 PhysicalDesignatorLocked33=0 -LogicalDesignator34=P5 +LogicalDesignator34=OK2 LogicalPartID34=1 -DocumentName34=Connector_out.SchDoc -ChannelName34=Designator -UniqueID34=\BPXYAMLZ\YESRSQFI -PhysicalDesignator34=P1 +DocumentName34=Opto_isolator_OUTPUT.SchDoc +ChannelName34=Opto_isolator_OUTPUT9 +UniqueID34=\BPXYAMLZ\XTKUGUHT\KWLMOYXQ +PhysicalDesignator34=OK20 PhysicalDesignatorLocked34=0 -LogicalDesignator35=OK2 +LogicalDesignator35=R14 LogicalPartID35=1 DocumentName35=Opto_isolator_OUTPUT.SchDoc -ChannelName35=Opto_isolator_OUTPUT7 -UniqueID35=\BPXYAMLZ\ZCPQGHVN\KWLMOYXQ -PhysicalDesignator35=OK18 +ChannelName35=Opto_isolator_OUTPUT9 +UniqueID35=\BPXYAMLZ\XTKUGUHT\PUNIQLKF +PhysicalDesignator35=R49 PhysicalDesignatorLocked35=0 -LogicalDesignator36=R14 +LogicalDesignator36=R15 LogicalPartID36=1 DocumentName36=Opto_isolator_OUTPUT.SchDoc -ChannelName36=Opto_isolator_OUTPUT7 -UniqueID36=\BPXYAMLZ\ZCPQGHVN\PUNIQLKF -PhysicalDesignator36=R45 +ChannelName36=Opto_isolator_OUTPUT9 +UniqueID36=\BPXYAMLZ\XTKUGUHT\UOYEJCPY +PhysicalDesignator36=R50 PhysicalDesignatorLocked36=0 -LogicalDesignator37=R15 +LogicalDesignator37=J2 LogicalPartID37=1 -DocumentName37=Opto_isolator_OUTPUT.SchDoc -ChannelName37=Opto_isolator_OUTPUT7 -UniqueID37=\BPXYAMLZ\ZCPQGHVN\UOYEJCPY -PhysicalDesignator37=R46 +DocumentName37=Connector_out.SchDoc +ChannelName37=Designator +UniqueID37=\BPXYAMLZ\YESRSQFI +PhysicalDesignator37=J1 PhysicalDesignatorLocked37=0 -LogicalDesignator38=P8 +LogicalDesignator38=OK2 LogicalPartID38=1 -DocumentName38=Connector_out.SchDoc -ChannelName38=Designator -UniqueID38=\BPXYAMLZ\ZCRVXNNO -PhysicalDesignator38=P4 +DocumentName38=Opto_isolator_OUTPUT.SchDoc +ChannelName38=Opto_isolator_OUTPUT7 +UniqueID38=\BPXYAMLZ\ZCPQGHVN\KWLMOYXQ +PhysicalDesignator38=OK18 PhysicalDesignatorLocked38=0 -LogicalDesignator39=C21 +LogicalDesignator39=R14 LogicalPartID39=1 -DocumentName39=Power.SchDoc -ChannelName39=Designator -UniqueID39=\CSNUPCDW\BARKMBSO -PhysicalDesignator39=C22 +DocumentName39=Opto_isolator_OUTPUT.SchDoc +ChannelName39=Opto_isolator_OUTPUT7 +UniqueID39=\BPXYAMLZ\ZCPQGHVN\PUNIQLKF +PhysicalDesignator39=R45 PhysicalDesignatorLocked39=0 -LogicalDesignator40=L2 +LogicalDesignator40=R15 LogicalPartID40=1 -DocumentName40=Power.SchDoc -ChannelName40=Designator -UniqueID40=\CSNUPCDW\BEDHFLEM -PhysicalDesignator40=L2 +DocumentName40=Opto_isolator_OUTPUT.SchDoc +ChannelName40=Opto_isolator_OUTPUT7 +UniqueID40=\BPXYAMLZ\ZCPQGHVN\UOYEJCPY +PhysicalDesignator40=R46 PhysicalDesignatorLocked40=0 -LogicalDesignator41=R8 +LogicalDesignator41=P8 LogicalPartID41=1 -DocumentName41=Power.SchDoc +DocumentName41=Connector_out.SchDoc ChannelName41=Designator -UniqueID41=\CSNUPCDW\BZMRBJBP -PhysicalDesignator41=R36 +UniqueID41=\BPXYAMLZ\ZCRVXNNO +PhysicalDesignator41=P4 PhysicalDesignatorLocked41=0 -LogicalDesignator42=U6 +LogicalDesignator42=C21 LogicalPartID42=1 DocumentName42=Power.SchDoc ChannelName42=Designator -UniqueID42=\CSNUPCDW\CZMMDIXO -PhysicalDesignator42=U7 +UniqueID42=\CSNUPCDW\BARKMBSO +PhysicalDesignator42=C22 PhysicalDesignatorLocked42=0 -LogicalDesignator43=C24 +LogicalDesignator43=L2 LogicalPartID43=1 DocumentName43=Power.SchDoc ChannelName43=Designator -UniqueID43=\CSNUPCDW\DVBETYFE -PhysicalDesignator43=C25 +UniqueID43=\CSNUPCDW\BEDHFLEM +PhysicalDesignator43=L2 PhysicalDesignatorLocked43=0 -LogicalDesignator44=C25 +LogicalDesignator44=R8 LogicalPartID44=1 DocumentName44=Power.SchDoc ChannelName44=Designator -UniqueID44=\CSNUPCDW\EHMXWVFS -PhysicalDesignator44=C26 +UniqueID44=\CSNUPCDW\BZMRBJBP +PhysicalDesignator44=R36 PhysicalDesignatorLocked44=0 -LogicalDesignator45=D3 +LogicalDesignator45=U6 LogicalPartID45=1 DocumentName45=Power.SchDoc ChannelName45=Designator -UniqueID45=\CSNUPCDW\EKTZLEYG -PhysicalDesignator45=D3 +UniqueID45=\CSNUPCDW\CZMMDIXO +PhysicalDesignator45=U7 PhysicalDesignatorLocked45=0 -LogicalDesignator46=U8 +LogicalDesignator46=C25 LogicalPartID46=1 DocumentName46=Power.SchDoc ChannelName46=Designator -UniqueID46=\CSNUPCDW\FXVWMAYQ -PhysicalDesignator46=U9 +UniqueID46=\CSNUPCDW\EHMXWVFS +PhysicalDesignator46=C26 PhysicalDesignatorLocked46=0 -LogicalDesignator47=C22 +LogicalDesignator47=D3 LogicalPartID47=1 DocumentName47=Power.SchDoc ChannelName47=Designator -UniqueID47=\CSNUPCDW\GWUEXGTJ -PhysicalDesignator47=C23 +UniqueID47=\CSNUPCDW\EKTZLEYG +PhysicalDesignator47=D3 PhysicalDesignatorLocked47=0 -LogicalDesignator48=C27 +LogicalDesignator48=U8 LogicalPartID48=1 DocumentName48=Power.SchDoc ChannelName48=Designator -UniqueID48=\CSNUPCDW\IJMQDEFF -PhysicalDesignator48=C28 +UniqueID48=\CSNUPCDW\FXVWMAYQ +PhysicalDesignator48=U9 PhysicalDesignatorLocked48=0 -LogicalDesignator49=D1 +LogicalDesignator49=C22 LogicalPartID49=1 DocumentName49=Power.SchDoc ChannelName49=Designator -UniqueID49=\CSNUPCDW\JAHCQWEH -PhysicalDesignator49=D1 +UniqueID49=\CSNUPCDW\GWUEXGTJ +PhysicalDesignator49=C23 PhysicalDesignatorLocked49=0 -LogicalDesignator50=C23 +LogicalDesignator50=C27 LogicalPartID50=1 DocumentName50=Power.SchDoc ChannelName50=Designator -UniqueID50=\CSNUPCDW\KDRNVQHD -PhysicalDesignator50=C24 +UniqueID50=\CSNUPCDW\IJMQDEFF +PhysicalDesignator50=C28 PhysicalDesignatorLocked50=0 -LogicalDesignator51=U5 +LogicalDesignator51=C24 LogicalPartID51=1 DocumentName51=Power.SchDoc ChannelName51=Designator -UniqueID51=\CSNUPCDW\KQMUXPDY -PhysicalDesignator51=U6 +UniqueID51=\CSNUPCDW\IRIATVLN +PhysicalDesignator51=C25 PhysicalDesignatorLocked51=0 -LogicalDesignator52=C26 +LogicalDesignator52=D1 LogicalPartID52=1 DocumentName52=Power.SchDoc ChannelName52=Designator -UniqueID52=\CSNUPCDW\KSULQTJE -PhysicalDesignator52=C27 +UniqueID52=\CSNUPCDW\JAHCQWEH +PhysicalDesignator52=D1 PhysicalDesignatorLocked52=0 -LogicalDesignator53=C17 +LogicalDesignator53=C23 LogicalPartID53=1 DocumentName53=Power.SchDoc ChannelName53=Designator -UniqueID53=\CSNUPCDW\MLAXYNWB -PhysicalDesignator53=C18 +UniqueID53=\CSNUPCDW\KDRNVQHD +PhysicalDesignator53=C24 PhysicalDesignatorLocked53=0 -LogicalDesignator54=D4 +LogicalDesignator54=U5 LogicalPartID54=1 DocumentName54=Power.SchDoc ChannelName54=Designator -UniqueID54=\CSNUPCDW\ONFABMWD -PhysicalDesignator54=D4 +UniqueID54=\CSNUPCDW\KQMUXPDY +PhysicalDesignator54=U6 PhysicalDesignatorLocked54=0 -LogicalDesignator55=TF1 +LogicalDesignator55=C26 LogicalPartID55=1 DocumentName55=Power.SchDoc ChannelName55=Designator -UniqueID55=\CSNUPCDW\RPCZILXG -PhysicalDesignator55=TF1 +UniqueID55=\CSNUPCDW\KSULQTJE +PhysicalDesignator55=C27 PhysicalDesignatorLocked55=0 -LogicalDesignator56=R7 +LogicalDesignator56=C17 LogicalPartID56=1 DocumentName56=Power.SchDoc ChannelName56=Designator -UniqueID56=\CSNUPCDW\SWWZYWUW -PhysicalDesignator56=R35 +UniqueID56=\CSNUPCDW\MLAXYNWB +PhysicalDesignator56=C18 PhysicalDesignatorLocked56=0 -LogicalDesignator57=D2 +LogicalDesignator57=D4 LogicalPartID57=1 DocumentName57=Power.SchDoc ChannelName57=Designator -UniqueID57=\CSNUPCDW\TGRVKOGH -PhysicalDesignator57=D2 +UniqueID57=\CSNUPCDW\ONFABMWD +PhysicalDesignator57=D4 PhysicalDesignatorLocked57=0 -LogicalDesignator58=U7 +LogicalDesignator58=TF1 LogicalPartID58=1 DocumentName58=Power.SchDoc ChannelName58=Designator -UniqueID58=\CSNUPCDW\TLPEEBFO -PhysicalDesignator58=U8 +UniqueID58=\CSNUPCDW\RPCZILXG +PhysicalDesignator58=TF1 PhysicalDesignatorLocked58=0 -LogicalDesignator59=C18 +LogicalDesignator59=R7 LogicalPartID59=1 DocumentName59=Power.SchDoc ChannelName59=Designator -UniqueID59=\CSNUPCDW\TSCSSYOL -PhysicalDesignator59=C19 +UniqueID59=\CSNUPCDW\SWWZYWUW +PhysicalDesignator59=R35 PhysicalDesignatorLocked59=0 -LogicalDesignator60=C19 +LogicalDesignator60=D2 LogicalPartID60=1 DocumentName60=Power.SchDoc ChannelName60=Designator -UniqueID60=\CSNUPCDW\UIJXTNTI -PhysicalDesignator60=C20 +UniqueID60=\CSNUPCDW\TGRVKOGH +PhysicalDesignator60=D2 PhysicalDesignatorLocked60=0 -LogicalDesignator61=L1 +LogicalDesignator61=U7 LogicalPartID61=1 DocumentName61=Power.SchDoc ChannelName61=Designator -UniqueID61=\CSNUPCDW\WJNOKUSA -PhysicalDesignator61=L1 +UniqueID61=\CSNUPCDW\TLPEEBFO +PhysicalDesignator61=U8 PhysicalDesignatorLocked61=0 -LogicalDesignator62=C20 +LogicalDesignator62=C18 LogicalPartID62=1 DocumentName62=Power.SchDoc ChannelName62=Designator -UniqueID62=\CSNUPCDW\YHOPFQTF -PhysicalDesignator62=C21 +UniqueID62=\CSNUPCDW\TSCSSYOL +PhysicalDesignator62=C19 PhysicalDesignatorLocked62=0 -LogicalDesignator63=P4 +LogicalDesignator63=C19 LogicalPartID63=1 DocumentName63=Power.SchDoc ChannelName63=Designator -UniqueID63=\CSNUPCDW\YOYVYQSY -PhysicalDesignator63=P16 +UniqueID63=\CSNUPCDW\UIJXTNTI +PhysicalDesignator63=C20 PhysicalDesignatorLocked63=0 -LogicalDesignator64=R13 +LogicalDesignator64=L1 LogicalPartID64=1 -DocumentName64=Opto_isolator_INPUT.SchDoc -ChannelName64=Opto_isolator_INPUT2 -UniqueID64=\JANKFIMP\CKHULMWR\RWPHDRIQ -PhysicalDesignator64=R12 +DocumentName64=Power.SchDoc +ChannelName64=Designator +UniqueID64=\CSNUPCDW\WJNOKUSA +PhysicalDesignator64=L1 PhysicalDesignatorLocked64=0 -LogicalDesignator65=OK1 +LogicalDesignator65=C20 LogicalPartID65=1 -DocumentName65=Opto_isolator_INPUT.SchDoc -ChannelName65=Opto_isolator_INPUT2 -UniqueID65=\JANKFIMP\CKHULMWR\XNDBZCEP -PhysicalDesignator65=OK2 +DocumentName65=Power.SchDoc +ChannelName65=Designator +UniqueID65=\CSNUPCDW\YHOPFQTF +PhysicalDesignator65=C21 PhysicalDesignatorLocked65=0 -LogicalDesignator66=R12 +LogicalDesignator66=P4 LogicalPartID66=1 -DocumentName66=Opto_isolator_INPUT.SchDoc -ChannelName66=Opto_isolator_INPUT2 -UniqueID66=\JANKFIMP\CKHULMWR\ZTYPGICR -PhysicalDesignator66=R11 +DocumentName66=Power.SchDoc +ChannelName66=Designator +UniqueID66=\CSNUPCDW\YOYVYQSY +PhysicalDesignator66=P16 PhysicalDesignatorLocked66=0 LogicalDesignator67=R13 LogicalPartID67=1 DocumentName67=Opto_isolator_INPUT.SchDoc -ChannelName67=Opto_isolator_INPUT6 -UniqueID67=\JANKFIMP\CNMDFGEL\RWPHDRIQ -PhysicalDesignator67=R20 +ChannelName67=Opto_isolator_INPUT2 +UniqueID67=\JANKFIMP\CKHULMWR\RWPHDRIQ +PhysicalDesignator67=R12 PhysicalDesignatorLocked67=0 LogicalDesignator68=OK1 LogicalPartID68=1 DocumentName68=Opto_isolator_INPUT.SchDoc -ChannelName68=Opto_isolator_INPUT6 -UniqueID68=\JANKFIMP\CNMDFGEL\XNDBZCEP -PhysicalDesignator68=OK6 +ChannelName68=Opto_isolator_INPUT2 +UniqueID68=\JANKFIMP\CKHULMWR\XNDBZCEP +PhysicalDesignator68=OK2 PhysicalDesignatorLocked68=0 LogicalDesignator69=R12 LogicalPartID69=1 DocumentName69=Opto_isolator_INPUT.SchDoc -ChannelName69=Opto_isolator_INPUT6 -UniqueID69=\JANKFIMP\CNMDFGEL\ZTYPGICR -PhysicalDesignator69=R19 +ChannelName69=Opto_isolator_INPUT2 +UniqueID69=\JANKFIMP\CKHULMWR\ZTYPGICR +PhysicalDesignator69=R11 PhysicalDesignatorLocked69=0 LogicalDesignator70=R13 LogicalPartID70=1 DocumentName70=Opto_isolator_INPUT.SchDoc -ChannelName70=Opto_isolator_INPUT3 -UniqueID70=\JANKFIMP\COGFWRKA\RWPHDRIQ -PhysicalDesignator70=R14 +ChannelName70=Opto_isolator_INPUT6 +UniqueID70=\JANKFIMP\CNMDFGEL\RWPHDRIQ +PhysicalDesignator70=R20 PhysicalDesignatorLocked70=0 LogicalDesignator71=OK1 LogicalPartID71=1 DocumentName71=Opto_isolator_INPUT.SchDoc -ChannelName71=Opto_isolator_INPUT3 -UniqueID71=\JANKFIMP\COGFWRKA\XNDBZCEP -PhysicalDesignator71=OK3 +ChannelName71=Opto_isolator_INPUT6 +UniqueID71=\JANKFIMP\CNMDFGEL\XNDBZCEP +PhysicalDesignator71=OK6 PhysicalDesignatorLocked71=0 LogicalDesignator72=R12 LogicalPartID72=1 DocumentName72=Opto_isolator_INPUT.SchDoc -ChannelName72=Opto_isolator_INPUT3 -UniqueID72=\JANKFIMP\COGFWRKA\ZTYPGICR -PhysicalDesignator72=R13 +ChannelName72=Opto_isolator_INPUT6 +UniqueID72=\JANKFIMP\CNMDFGEL\ZTYPGICR +PhysicalDesignator72=R19 PhysicalDesignatorLocked72=0 -LogicalDesignator73=R17 +LogicalDesignator73=R13 LogicalPartID73=1 -DocumentName73=Connector_sensor.SchDoc -ChannelName73=Designator -UniqueID73=\JANKFIMP\CRQCUVRO -PhysicalDesignator73=R5 +DocumentName73=Opto_isolator_INPUT.SchDoc +ChannelName73=Opto_isolator_INPUT3 +UniqueID73=\JANKFIMP\COGFWRKA\RWPHDRIQ +PhysicalDesignator73=R14 PhysicalDesignatorLocked73=0 -LogicalDesignator74=R13 +LogicalDesignator74=OK1 LogicalPartID74=1 DocumentName74=Opto_isolator_INPUT.SchDoc -ChannelName74=Opto_isolator_INPUT8 -UniqueID74=\JANKFIMP\GXVLWJSB\RWPHDRIQ -PhysicalDesignator74=R38 +ChannelName74=Opto_isolator_INPUT3 +UniqueID74=\JANKFIMP\COGFWRKA\XNDBZCEP +PhysicalDesignator74=OK3 PhysicalDesignatorLocked74=0 -LogicalDesignator75=OK1 +LogicalDesignator75=R12 LogicalPartID75=1 DocumentName75=Opto_isolator_INPUT.SchDoc -ChannelName75=Opto_isolator_INPUT8 -UniqueID75=\JANKFIMP\GXVLWJSB\XNDBZCEP -PhysicalDesignator75=OK14 +ChannelName75=Opto_isolator_INPUT3 +UniqueID75=\JANKFIMP\COGFWRKA\ZTYPGICR +PhysicalDesignator75=R13 PhysicalDesignatorLocked75=0 -LogicalDesignator76=R12 +LogicalDesignator76=R17 LogicalPartID76=1 -DocumentName76=Opto_isolator_INPUT.SchDoc -ChannelName76=Opto_isolator_INPUT8 -UniqueID76=\JANKFIMP\GXVLWJSB\ZTYPGICR -PhysicalDesignator76=R37 +DocumentName76=Connector_sensor.SchDoc +ChannelName76=Designator +UniqueID76=\JANKFIMP\CRQCUVRO +PhysicalDesignator76=R5 PhysicalDesignatorLocked76=0 -LogicalDesignator77=P14 +LogicalDesignator77=R13 LogicalPartID77=1 -DocumentName77=Connector_sensor.SchDoc -ChannelName77=Designator -UniqueID77=\JANKFIMP\HHUIMKVD -PhysicalDesignator77=P5 +DocumentName77=Opto_isolator_INPUT.SchDoc +ChannelName77=Opto_isolator_INPUT8 +UniqueID77=\JANKFIMP\GXVLWJSB\RWPHDRIQ +PhysicalDesignator77=R38 PhysicalDesignatorLocked77=0 -LogicalDesignator78=P15 +LogicalDesignator78=OK1 LogicalPartID78=1 -DocumentName78=Connector_sensor.SchDoc -ChannelName78=Designator -UniqueID78=\JANKFIMP\HPCUVHVH -PhysicalDesignator78=P7 +DocumentName78=Opto_isolator_INPUT.SchDoc +ChannelName78=Opto_isolator_INPUT8 +UniqueID78=\JANKFIMP\GXVLWJSB\XNDBZCEP +PhysicalDesignator78=OK14 PhysicalDesignatorLocked78=0 -LogicalDesignator79=R13 +LogicalDesignator79=R12 LogicalPartID79=1 DocumentName79=Opto_isolator_INPUT.SchDoc -ChannelName79=Opto_isolator_INPUT5 -UniqueID79=\JANKFIMP\NAIFGAPM\RWPHDRIQ -PhysicalDesignator79=R18 +ChannelName79=Opto_isolator_INPUT8 +UniqueID79=\JANKFIMP\GXVLWJSB\ZTYPGICR +PhysicalDesignator79=R37 PhysicalDesignatorLocked79=0 -LogicalDesignator80=OK1 +LogicalDesignator80=P14 LogicalPartID80=1 -DocumentName80=Opto_isolator_INPUT.SchDoc -ChannelName80=Opto_isolator_INPUT5 -UniqueID80=\JANKFIMP\NAIFGAPM\XNDBZCEP -PhysicalDesignator80=OK5 +DocumentName80=Connector_sensor.SchDoc +ChannelName80=Designator +UniqueID80=\JANKFIMP\HHUIMKVD +PhysicalDesignator80=P5 PhysicalDesignatorLocked80=0 -LogicalDesignator81=R12 +LogicalDesignator81=P15 LogicalPartID81=1 -DocumentName81=Opto_isolator_INPUT.SchDoc -ChannelName81=Opto_isolator_INPUT5 -UniqueID81=\JANKFIMP\NAIFGAPM\ZTYPGICR -PhysicalDesignator81=R17 +DocumentName81=Connector_sensor.SchDoc +ChannelName81=Designator +UniqueID81=\JANKFIMP\HPCUVHVH +PhysicalDesignator81=P7 PhysicalDesignatorLocked81=0 LogicalDesignator82=R13 LogicalPartID82=1 DocumentName82=Opto_isolator_INPUT.SchDoc -ChannelName82=Opto_isolator_INPUT7 -UniqueID82=\JANKFIMP\QFLYQMAS\RWPHDRIQ -PhysicalDesignator82=R22 +ChannelName82=Opto_isolator_INPUT5 +UniqueID82=\JANKFIMP\NAIFGAPM\RWPHDRIQ +PhysicalDesignator82=R18 PhysicalDesignatorLocked82=0 LogicalDesignator83=OK1 LogicalPartID83=1 DocumentName83=Opto_isolator_INPUT.SchDoc -ChannelName83=Opto_isolator_INPUT7 -UniqueID83=\JANKFIMP\QFLYQMAS\XNDBZCEP -PhysicalDesignator83=OK7 +ChannelName83=Opto_isolator_INPUT5 +UniqueID83=\JANKFIMP\NAIFGAPM\XNDBZCEP +PhysicalDesignator83=OK5 PhysicalDesignatorLocked83=0 LogicalDesignator84=R12 LogicalPartID84=1 DocumentName84=Opto_isolator_INPUT.SchDoc -ChannelName84=Opto_isolator_INPUT7 -UniqueID84=\JANKFIMP\QFLYQMAS\ZTYPGICR -PhysicalDesignator84=R21 +ChannelName84=Opto_isolator_INPUT5 +UniqueID84=\JANKFIMP\NAIFGAPM\ZTYPGICR +PhysicalDesignator84=R17 PhysicalDesignatorLocked84=0 -LogicalDesignator85=P16 +LogicalDesignator85=R13 LogicalPartID85=1 -DocumentName85=Connector_sensor.SchDoc -ChannelName85=Designator -UniqueID85=\JANKFIMP\VHSEBPGZ -PhysicalDesignator85=P6 +DocumentName85=Opto_isolator_INPUT.SchDoc +ChannelName85=Opto_isolator_INPUT7 +UniqueID85=\JANKFIMP\QFLYQMAS\RWPHDRIQ +PhysicalDesignator85=R22 PhysicalDesignatorLocked85=0 -LogicalDesignator86=R13 +LogicalDesignator86=OK1 LogicalPartID86=1 DocumentName86=Opto_isolator_INPUT.SchDoc -ChannelName86=Opto_isolator_INPUT4 -UniqueID86=\JANKFIMP\WICSGKDS\RWPHDRIQ -PhysicalDesignator86=R16 +ChannelName86=Opto_isolator_INPUT7 +UniqueID86=\JANKFIMP\QFLYQMAS\XNDBZCEP +PhysicalDesignator86=OK7 PhysicalDesignatorLocked86=0 -LogicalDesignator87=OK1 +LogicalDesignator87=R12 LogicalPartID87=1 DocumentName87=Opto_isolator_INPUT.SchDoc -ChannelName87=Opto_isolator_INPUT4 -UniqueID87=\JANKFIMP\WICSGKDS\XNDBZCEP -PhysicalDesignator87=OK4 +ChannelName87=Opto_isolator_INPUT7 +UniqueID87=\JANKFIMP\QFLYQMAS\ZTYPGICR +PhysicalDesignator87=R21 PhysicalDesignatorLocked87=0 -LogicalDesignator88=R12 +LogicalDesignator88=P16 LogicalPartID88=1 -DocumentName88=Opto_isolator_INPUT.SchDoc -ChannelName88=Opto_isolator_INPUT4 -UniqueID88=\JANKFIMP\WICSGKDS\ZTYPGICR -PhysicalDesignator88=R15 +DocumentName88=Connector_sensor.SchDoc +ChannelName88=Designator +UniqueID88=\JANKFIMP\VHSEBPGZ +PhysicalDesignator88=P6 PhysicalDesignatorLocked88=0 LogicalDesignator89=R13 LogicalPartID89=1 DocumentName89=Opto_isolator_INPUT.SchDoc -ChannelName89=Opto_isolator_INPUT12 -UniqueID89=\JANKFIMP\XHEDVTGS\RWPHDRIQ -PhysicalDesignator89=R10 +ChannelName89=Opto_isolator_INPUT4 +UniqueID89=\JANKFIMP\WICSGKDS\RWPHDRIQ +PhysicalDesignator89=R16 PhysicalDesignatorLocked89=0 LogicalDesignator90=OK1 LogicalPartID90=1 DocumentName90=Opto_isolator_INPUT.SchDoc -ChannelName90=Opto_isolator_INPUT12 -UniqueID90=\JANKFIMP\XHEDVTGS\XNDBZCEP -PhysicalDesignator90=OK1 +ChannelName90=Opto_isolator_INPUT4 +UniqueID90=\JANKFIMP\WICSGKDS\XNDBZCEP +PhysicalDesignator90=OK4 PhysicalDesignatorLocked90=0 LogicalDesignator91=R12 LogicalPartID91=1 DocumentName91=Opto_isolator_INPUT.SchDoc -ChannelName91=Opto_isolator_INPUT12 -UniqueID91=\JANKFIMP\XHEDVTGS\ZTYPGICR -PhysicalDesignator91=R9 +ChannelName91=Opto_isolator_INPUT4 +UniqueID91=\JANKFIMP\WICSGKDS\ZTYPGICR +PhysicalDesignator91=R15 PhysicalDesignatorLocked91=0 -LogicalDesignator92=R16 +LogicalDesignator92=R13 LogicalPartID92=1 -DocumentName92=Connector_sensor.SchDoc -ChannelName92=Designator -UniqueID92=\JANKFIMP\YFYNBMFB -PhysicalDesignator92=R4 +DocumentName92=Opto_isolator_INPUT.SchDoc +ChannelName92=Opto_isolator_INPUT12 +UniqueID92=\JANKFIMP\XHEDVTGS\RWPHDRIQ +PhysicalDesignator92=R10 PhysicalDesignatorLocked92=0 -LogicalDesignator93=C2 +LogicalDesignator93=OK1 LogicalPartID93=1 -DocumentName93=Controller.SchDoc -ChannelName93=Designator -UniqueID93=\PCYNFSRK\BITWGHQO -PhysicalDesignator93=C7 +DocumentName93=Opto_isolator_INPUT.SchDoc +ChannelName93=Opto_isolator_INPUT12 +UniqueID93=\JANKFIMP\XHEDVTGS\XNDBZCEP +PhysicalDesignator93=OK1 PhysicalDesignatorLocked93=0 -LogicalDesignator94=C13 +LogicalDesignator94=R12 LogicalPartID94=1 -DocumentName94=Controller.SchDoc -ChannelName94=Designator -UniqueID94=\PCYNFSRK\BLHBSATK -PhysicalDesignator94=C16 +DocumentName94=Opto_isolator_INPUT.SchDoc +ChannelName94=Opto_isolator_INPUT12 +UniqueID94=\JANKFIMP\XHEDVTGS\ZTYPGICR +PhysicalDesignator94=R9 PhysicalDesignatorLocked94=0 -LogicalDesignator95=P1 +LogicalDesignator95=R16 LogicalPartID95=1 -DocumentName95=Controller.SchDoc +DocumentName95=Connector_sensor.SchDoc ChannelName95=Designator -UniqueID95=\PCYNFSRK\BNBALDVA -PhysicalDesignator95=P13 +UniqueID95=\JANKFIMP\YFYNBMFB +PhysicalDesignator95=R4 PhysicalDesignatorLocked95=0 -LogicalDesignator96=C8 +LogicalDesignator96=C2 LogicalPartID96=1 DocumentName96=Controller.SchDoc ChannelName96=Designator -UniqueID96=\PCYNFSRK\BOIGKKGH -PhysicalDesignator96=C8 +UniqueID96=\PCYNFSRK\BITWGHQO +PhysicalDesignator96=C7 PhysicalDesignatorLocked96=0 -LogicalDesignator97=Q1 +LogicalDesignator97=C13 LogicalPartID97=1 DocumentName97=Controller.SchDoc ChannelName97=Designator -UniqueID97=\PCYNFSRK\BQLBFGEO -PhysicalDesignator97=Q1 +UniqueID97=\PCYNFSRK\BLHBSATK +PhysicalDesignator97=C16 PhysicalDesignatorLocked97=0 -LogicalDesignator98=LD1 +LogicalDesignator98=P1 LogicalPartID98=1 DocumentName98=Controller.SchDoc ChannelName98=Designator -UniqueID98=\PCYNFSRK\CEAMMSEK -PhysicalDesignator98=LD1 +UniqueID98=\PCYNFSRK\BNBALDVA +PhysicalDesignator98=P13 PhysicalDesignatorLocked98=0 -LogicalDesignator99=C12 +LogicalDesignator99=C8 LogicalPartID99=1 DocumentName99=Controller.SchDoc ChannelName99=Designator -UniqueID99=\PCYNFSRK\CNAABKCR -PhysicalDesignator99=C15 +UniqueID99=\PCYNFSRK\BOIGKKGH +PhysicalDesignator99=C8 PhysicalDesignatorLocked99=0 -LogicalDesignator100=U3 +LogicalDesignator100=Q1 LogicalPartID100=1 DocumentName100=Controller.SchDoc ChannelName100=Designator -UniqueID100=\PCYNFSRK\CXVIPDRK -PhysicalDesignator100=U11 +UniqueID100=\PCYNFSRK\BQLBFGEO +PhysicalDesignator100=Q1 PhysicalDesignatorLocked100=0 -LogicalDesignator101=C7 +LogicalDesignator101=LD1 LogicalPartID101=1 DocumentName101=Controller.SchDoc ChannelName101=Designator -UniqueID101=\PCYNFSRK\FHEIDPTS -PhysicalDesignator101=C6 +UniqueID101=\PCYNFSRK\CEAMMSEK +PhysicalDesignator101=LD1 PhysicalDesignatorLocked101=0 -LogicalDesignator102=Y1 +LogicalDesignator102=C12 LogicalPartID102=1 DocumentName102=Controller.SchDoc ChannelName102=Designator -UniqueID102=\PCYNFSRK\FVYDMLGR -PhysicalDesignator102=Y1 +UniqueID102=\PCYNFSRK\CNAABKCR +PhysicalDesignator102=C15 PhysicalDesignatorLocked102=0 -LogicalDesignator103=C10 +LogicalDesignator103=U3 LogicalPartID103=1 DocumentName103=Controller.SchDoc ChannelName103=Designator -UniqueID103=\PCYNFSRK\KTVECPTV -PhysicalDesignator103=C14 +UniqueID103=\PCYNFSRK\CXVIPDRK +PhysicalDesignator103=U11 PhysicalDesignatorLocked103=0 -LogicalDesignator104=C16 +LogicalDesignator104=C7 LogicalPartID104=1 DocumentName104=Controller.SchDoc ChannelName104=Designator -UniqueID104=\PCYNFSRK\MGDCNHCY -PhysicalDesignator104=C13 +UniqueID104=\PCYNFSRK\FHEIDPTS +PhysicalDesignator104=C6 PhysicalDesignatorLocked104=0 -LogicalDesignator105=C15 +LogicalDesignator105=Y1 LogicalPartID105=1 DocumentName105=Controller.SchDoc ChannelName105=Designator -UniqueID105=\PCYNFSRK\MSPGQLUM -PhysicalDesignator105=C17 +UniqueID105=\PCYNFSRK\FVYDMLGR +PhysicalDesignator105=Y1 PhysicalDesignatorLocked105=0 -LogicalDesignator106=C5 +LogicalDesignator106=C10 LogicalPartID106=1 DocumentName106=Controller.SchDoc ChannelName106=Designator -UniqueID106=\PCYNFSRK\MUISPKMG -PhysicalDesignator106=C11 +UniqueID106=\PCYNFSRK\KTVECPTV +PhysicalDesignator106=C14 PhysicalDesignatorLocked106=0 -LogicalDesignator107=C3 +LogicalDesignator107=C16 LogicalPartID107=1 DocumentName107=Controller.SchDoc ChannelName107=Designator -UniqueID107=\PCYNFSRK\MYHPXGEU -PhysicalDesignator107=C2 +UniqueID107=\PCYNFSRK\MGDCNHCY +PhysicalDesignator107=C13 PhysicalDesignatorLocked107=0 -LogicalDesignator108=C6 +LogicalDesignator108=C15 LogicalPartID108=1 DocumentName108=Controller.SchDoc ChannelName108=Designator -UniqueID108=\PCYNFSRK\NILHSFCZ -PhysicalDesignator108=C5 +UniqueID108=\PCYNFSRK\MSPGQLUM +PhysicalDesignator108=C17 PhysicalDesignatorLocked108=0 -LogicalDesignator109=R1 +LogicalDesignator109=C5 LogicalPartID109=1 DocumentName109=Controller.SchDoc ChannelName109=Designator -UniqueID109=\PCYNFSRK\NTGDDCUW -PhysicalDesignator109=R6 +UniqueID109=\PCYNFSRK\MUISPKMG +PhysicalDesignator109=C11 PhysicalDesignatorLocked109=0 -LogicalDesignator110=S1 +LogicalDesignator110=C3 LogicalPartID110=1 DocumentName110=Controller.SchDoc ChannelName110=Designator -UniqueID110=\PCYNFSRK\OJFOQLAF -PhysicalDesignator110=S1 +UniqueID110=\PCYNFSRK\MYHPXGEU +PhysicalDesignator110=C2 PhysicalDesignatorLocked110=0 -LogicalDesignator111=LD2 +LogicalDesignator111=C6 LogicalPartID111=1 DocumentName111=Controller.SchDoc ChannelName111=Designator -UniqueID111=\PCYNFSRK\OZWPFWPA -PhysicalDesignator111=LD2 +UniqueID111=\PCYNFSRK\NILHSFCZ +PhysicalDesignator111=C5 PhysicalDesignatorLocked111=0 -LogicalDesignator112=C11 +LogicalDesignator112=R1 LogicalPartID112=1 DocumentName112=Controller.SchDoc ChannelName112=Designator -UniqueID112=\PCYNFSRK\PVQEUNGM -PhysicalDesignator112=C10 +UniqueID112=\PCYNFSRK\NTGDDCUW +PhysicalDesignator112=R6 PhysicalDesignatorLocked112=0 -LogicalDesignator113=R5 +LogicalDesignator113=S1 LogicalPartID113=1 DocumentName113=Controller.SchDoc ChannelName113=Designator -UniqueID113=\PCYNFSRK\QCAEFZLP -PhysicalDesignator113=R41 +UniqueID113=\PCYNFSRK\OJFOQLAF +PhysicalDesignator113=S1 PhysicalDesignatorLocked113=0 -LogicalDesignator114=P2 +LogicalDesignator114=LD2 LogicalPartID114=1 DocumentName114=Controller.SchDoc ChannelName114=Designator -UniqueID114=\PCYNFSRK\QINHTCMS -PhysicalDesignator114=P14 +UniqueID114=\PCYNFSRK\OZWPFWPA +PhysicalDesignator114=LD2 PhysicalDesignatorLocked114=0 -LogicalDesignator115=R4 +LogicalDesignator115=C11 LogicalPartID115=1 DocumentName115=Controller.SchDoc ChannelName115=Designator -UniqueID115=\PCYNFSRK\QJXADSII -PhysicalDesignator115=R40 +UniqueID115=\PCYNFSRK\PVQEUNGM +PhysicalDesignator115=C10 PhysicalDesignatorLocked115=0 -LogicalDesignator116=R2 +LogicalDesignator116=R5 LogicalPartID116=1 DocumentName116=Controller.SchDoc ChannelName116=Designator -UniqueID116=\PCYNFSRK\RKUHROAZ -PhysicalDesignator116=R39 +UniqueID116=\PCYNFSRK\QCAEFZLP +PhysicalDesignator116=R41 PhysicalDesignatorLocked116=0 -LogicalDesignator117=U2 +LogicalDesignator117=P2 LogicalPartID117=1 DocumentName117=Controller.SchDoc ChannelName117=Designator -UniqueID117=\PCYNFSRK\TEZGDWLU -PhysicalDesignator117=U4 +UniqueID117=\PCYNFSRK\QINHTCMS +PhysicalDesignator117=P14 PhysicalDesignatorLocked117=0 -LogicalDesignator118=U1 -LogicalPartID118=2 +LogicalDesignator118=R4 +LogicalPartID118=1 DocumentName118=Controller.SchDoc ChannelName118=Designator -UniqueID118=\PCYNFSRK\TNYIAXZH -PhysicalDesignator118=U5 +UniqueID118=\PCYNFSRK\QJXADSII +PhysicalDesignator118=R40 PhysicalDesignatorLocked118=0 -LogicalDesignator119=C9 +LogicalDesignator119=R2 LogicalPartID119=1 DocumentName119=Controller.SchDoc ChannelName119=Designator -UniqueID119=\PCYNFSRK\UADFUYWT -PhysicalDesignator119=C9 +UniqueID119=\PCYNFSRK\RKUHROAZ +PhysicalDesignator119=R39 PhysicalDesignatorLocked119=0 -LogicalDesignator120=C14 +LogicalDesignator120=U2 LogicalPartID120=1 DocumentName120=Controller.SchDoc ChannelName120=Designator -UniqueID120=\PCYNFSRK\USYDFDQA -PhysicalDesignator120=C12 +UniqueID120=\PCYNFSRK\TEZGDWLU +PhysicalDesignator120=U4 PhysicalDesignatorLocked120=0 -LogicalDesignator121=P17 -LogicalPartID121=1 +LogicalDesignator121=U1 +LogicalPartID121=2 DocumentName121=Controller.SchDoc ChannelName121=Designator -UniqueID121=\PCYNFSRK\USZLXXMD -PhysicalDesignator121=P17 +UniqueID121=\PCYNFSRK\TNYIAXZH +PhysicalDesignator121=U5 PhysicalDesignatorLocked121=0 -LogicalDesignator122=U4 +LogicalDesignator122=C9 LogicalPartID122=1 DocumentName122=Controller.SchDoc ChannelName122=Designator -UniqueID122=\PCYNFSRK\VGXLDVRF -PhysicalDesignator122=U10 +UniqueID122=\PCYNFSRK\UADFUYWT +PhysicalDesignator122=C9 PhysicalDesignatorLocked122=0 -LogicalDesignator123=R6 +LogicalDesignator123=C14 LogicalPartID123=1 DocumentName123=Controller.SchDoc ChannelName123=Designator -UniqueID123=\PCYNFSRK\VOPKIPHH -PhysicalDesignator123=R8 +UniqueID123=\PCYNFSRK\USYDFDQA +PhysicalDesignator123=C12 PhysicalDesignatorLocked123=0 -LogicalDesignator124=C1 +LogicalDesignator124=J1 LogicalPartID124=1 DocumentName124=Controller.SchDoc ChannelName124=Designator -UniqueID124=\PCYNFSRK\VSEXMCSD -PhysicalDesignator124=C4 +UniqueID124=\PCYNFSRK\USZLXXMD +PhysicalDesignator124=J2 PhysicalDesignatorLocked124=0 -LogicalDesignator125=U12 +LogicalDesignator125=U4 LogicalPartID125=1 DocumentName125=Controller.SchDoc ChannelName125=Designator -UniqueID125=\PCYNFSRK\WOZFNSUS -PhysicalDesignator125=U12 +UniqueID125=\PCYNFSRK\VGXLDVRF +PhysicalDesignator125=U10 PhysicalDesignatorLocked125=0 -LogicalDesignator126=C4 +LogicalDesignator126=R6 LogicalPartID126=1 DocumentName126=Controller.SchDoc ChannelName126=Designator -UniqueID126=\PCYNFSRK\WVZXVLCH -PhysicalDesignator126=C3 +UniqueID126=\PCYNFSRK\VOPKIPHH +PhysicalDesignator126=R8 PhysicalDesignatorLocked126=0 -LogicalDesignator127=R3 +LogicalDesignator127=C1 LogicalPartID127=1 DocumentName127=Controller.SchDoc ChannelName127=Designator -UniqueID127=\PCYNFSRK\XMNYIEVH -PhysicalDesignator127=R7 +UniqueID127=\PCYNFSRK\VSEXMCSD +PhysicalDesignator127=C4 PhysicalDesignatorLocked127=0 -LogicalDesignator128=P3 +LogicalDesignator128=U12 LogicalPartID128=1 DocumentName128=Controller.SchDoc ChannelName128=Designator -UniqueID128=\PCYNFSRK\YNFJLBYM -PhysicalDesignator128=P15 +UniqueID128=\PCYNFSRK\WOZFNSUS +PhysicalDesignator128=U12 PhysicalDesignatorLocked128=0 -LogicalDesignator129=Q2 +LogicalDesignator129=C4 LogicalPartID129=1 DocumentName129=Controller.SchDoc ChannelName129=Designator -UniqueID129=\PCYNFSRK\YWTMVIQN -PhysicalDesignator129=Q2 +UniqueID129=\PCYNFSRK\WVZXVLCH +PhysicalDesignator129=C3 PhysicalDesignatorLocked129=0 -LogicalDesignator130=U1 +LogicalDesignator130=R3 LogicalPartID130=1 DocumentName130=Controller.SchDoc ChannelName130=Designator -UniqueID130=\PCYNFSRK\ZIWDXHLR -PhysicalDesignator130=U5 +UniqueID130=\PCYNFSRK\XMNYIEVH +PhysicalDesignator130=R7 PhysicalDesignatorLocked130=0 -LogicalDesignator131=P10 +LogicalDesignator131=P3 LogicalPartID131=1 -DocumentName131=Connector_stepper.SchDoc +DocumentName131=Controller.SchDoc ChannelName131=Designator -UniqueID131=\SDQBEMWM\CNOTFJIR -PhysicalDesignator131=P9 +UniqueID131=\PCYNFSRK\YNFJLBYM +PhysicalDesignator131=P15 PhysicalDesignatorLocked131=0 -LogicalDesignator132=P11 +LogicalDesignator132=Q2 LogicalPartID132=1 -DocumentName132=Connector_stepper.SchDoc +DocumentName132=Controller.SchDoc ChannelName132=Designator -UniqueID132=\SDQBEMWM\CXTVMCFY -PhysicalDesignator132=P10 +UniqueID132=\PCYNFSRK\YWTMVIQN +PhysicalDesignator132=Q2 PhysicalDesignatorLocked132=0 -LogicalDesignator133=U10 +LogicalDesignator133=U1 LogicalPartID133=1 -DocumentName133=Connector_stepper.SchDoc +DocumentName133=Controller.SchDoc ChannelName133=Designator -UniqueID133=\SDQBEMWM\IZZNWMSJ -PhysicalDesignator133=U2 +UniqueID133=\PCYNFSRK\ZIWDXHLR +PhysicalDesignator133=U5 PhysicalDesignatorLocked133=0 -LogicalDesignator134=P9 +LogicalDesignator134=P10 LogicalPartID134=1 DocumentName134=Connector_stepper.SchDoc ChannelName134=Designator -UniqueID134=\SDQBEMWM\JVJXYBDD -PhysicalDesignator134=P8 +UniqueID134=\SDQBEMWM\CNOTFJIR +PhysicalDesignator134=P9 PhysicalDesignatorLocked134=0 -LogicalDesignator135=P12 +LogicalDesignator135=P11 LogicalPartID135=1 DocumentName135=Connector_stepper.SchDoc ChannelName135=Designator -UniqueID135=\SDQBEMWM\MDSPIGOU -PhysicalDesignator135=P11 +UniqueID135=\SDQBEMWM\CXTVMCFY +PhysicalDesignator135=P10 PhysicalDesignatorLocked135=0 -LogicalDesignator136=P13 +LogicalDesignator136=U10 LogicalPartID136=1 DocumentName136=Connector_stepper.SchDoc ChannelName136=Designator -UniqueID136=\SDQBEMWM\PHIDHHFG -PhysicalDesignator136=P12 +UniqueID136=\SDQBEMWM\IZZNWMSJ +PhysicalDesignator136=U2 PhysicalDesignatorLocked136=0 -LogicalDesignator137=U11 +LogicalDesignator137=P9 LogicalPartID137=1 DocumentName137=Connector_stepper.SchDoc ChannelName137=Designator -UniqueID137=\SDQBEMWM\ZZBNALNI -PhysicalDesignator137=U3 +UniqueID137=\SDQBEMWM\JVJXYBDD +PhysicalDesignator137=P8 PhysicalDesignatorLocked137=0 +LogicalDesignator138=P12 +LogicalPartID138=1 +DocumentName138=Connector_stepper.SchDoc +ChannelName138=Designator +UniqueID138=\SDQBEMWM\MDSPIGOU +PhysicalDesignator138=P11 +PhysicalDesignatorLocked138=0 +LogicalDesignator139=P13 +LogicalPartID139=1 +DocumentName139=Connector_stepper.SchDoc +ChannelName139=Designator +UniqueID139=\SDQBEMWM\PHIDHHFG +PhysicalDesignator139=P12 +PhysicalDesignatorLocked139=0 +LogicalDesignator140=U11 +LogicalPartID140=1 +DocumentName140=Connector_stepper.SchDoc +ChannelName140=Designator +UniqueID140=\SDQBEMWM\ZZBNALNI +PhysicalDesignator140=U3 +PhysicalDesignatorLocked140=0 [SheetNumberManager] SheetNumberOrder=Display Order diff --git a/DisysCNC.PrjPcb b/DisysCNC.PrjPcb index 85c8cd0..e8d0713 100644 --- a/DisysCNC.PrjPcb +++ b/DisysCNC.PrjPcb @@ -1200,190 +1200,198 @@ Order0=3 IndexEnabled0=0 IndexStartValue0=1 Suffix0= -UniqueIDPath1=\BPXYAMLZ\QIQMTRNL -DocumentName1=Opto_isolator_OUTPUT.SchDoc +UniqueIDPath1=\JANKFIMP\XHEDVTGS +DocumentName1=Opto_isolator_INPUT.SchDoc IsEnabled1=1 SelectionScope1=All -Order1=14 +Order1=6 IndexEnabled1=0 IndexStartValue1=1 Suffix1= -UniqueIDPath2=\JANKFIMP\CNMDFGEL -DocumentName2=Opto_isolator_INPUT.SchDoc +UniqueIDPath2=\BPXYAMLZ\KFXCXOSQ +DocumentName2=Opto_isolator_OUTPUT.SchDoc IsEnabled2=1 SelectionScope2=All -Order2=11 +Order2=18 IndexEnabled2=0 IndexStartValue2=1 Suffix2= -UniqueIDPath3=\BPXYAMLZ\KFXCXOSQ -DocumentName3=Opto_isolator_OUTPUT.SchDoc +UniqueIDPath3=\JANKFIMP\WICSGKDS +DocumentName3=Opto_isolator_INPUT.SchDoc IsEnabled3=1 SelectionScope3=All -Order3=18 +Order3=9 IndexEnabled3=0 IndexStartValue3=1 Suffix3= -UniqueIDPath4=\CSNUPCDW -DocumentName4=Power.SchDoc +UniqueIDPath4= +DocumentName4=Main.SchDoc IsEnabled4=1 SelectionScope4=All -Order4=19 +Order4=5 IndexEnabled4=0 IndexStartValue4=1 Suffix4= -UniqueIDPath5=\JANKFIMP -DocumentName5=Connector_sensor.SchDoc +UniqueIDPath5=\JANKFIMP\CKHULMWR +DocumentName5=Opto_isolator_INPUT.SchDoc IsEnabled5=1 SelectionScope5=All -Order5=2 +Order5=7 IndexEnabled5=0 IndexStartValue5=1 Suffix5= -UniqueIDPath6=\JANKFIMP\GXVLWJSB -DocumentName6=Opto_isolator_INPUT.SchDoc +UniqueIDPath6=\BPXYAMLZ\QIQMTRNL +DocumentName6=Opto_isolator_OUTPUT.SchDoc IsEnabled6=1 SelectionScope6=All -Order6=20 +Order6=14 IndexEnabled6=0 IndexStartValue6=1 Suffix6= -UniqueIDPath7=\BPXYAMLZ\SJLJUKOH +UniqueIDPath7=\BPXYAMLZ\OIPOXSGF DocumentName7=Opto_isolator_OUTPUT.SchDoc IsEnabled7=1 SelectionScope7=All -Order7=25 +Order7=16 IndexEnabled7=0 IndexStartValue7=1 Suffix7= -UniqueIDPath8=\BPXYAMLZ -DocumentName8=Connector_out.SchDoc +UniqueIDPath8=\BPXYAMLZ\NZNMVOSA +DocumentName8=Opto_isolator_INPUT.SchDoc IsEnabled8=1 SelectionScope8=All -Order8=1 +Order8=28 IndexEnabled8=0 IndexStartValue8=1 Suffix8= -UniqueIDPath9=\PCYNFSRK -DocumentName9=Controller.SchDoc +UniqueIDPath9=\BPXYAMLZ\PESAFFAA +DocumentName9=Opto_isolator_OUTPUT.SchDoc IsEnabled9=1 SelectionScope9=All -Order9=4 +Order9=17 IndexEnabled9=0 IndexStartValue9=1 Suffix9= -UniqueIDPath10=\BPXYAMLZ\ZCPQGHVN +UniqueIDPath10=\BPXYAMLZ\IZSHSRRX DocumentName10=Opto_isolator_OUTPUT.SchDoc IsEnabled10=1 SelectionScope10=All -Order10=24 +Order10=15 IndexEnabled10=0 IndexStartValue10=1 Suffix10= -UniqueIDPath11=\JANKFIMP\WICSGKDS -DocumentName11=Opto_isolator_INPUT.SchDoc +UniqueIDPath11=\BPXYAMLZ\ZCPQGHVN +DocumentName11=Opto_isolator_OUTPUT.SchDoc IsEnabled11=1 SelectionScope11=All -Order11=9 +Order11=24 IndexEnabled11=0 IndexStartValue11=1 Suffix11= -UniqueIDPath12=\JANKFIMP\QFLYQMAS +UniqueIDPath12=\JANKFIMP\NAIFGAPM DocumentName12=Opto_isolator_INPUT.SchDoc IsEnabled12=1 SelectionScope12=All -Order12=12 +Order12=10 IndexEnabled12=0 IndexStartValue12=1 Suffix12= -UniqueIDPath13=\JANKFIMP\XHEDVTGS -DocumentName13=Opto_isolator_INPUT.SchDoc +UniqueIDPath13=\BPXYAMLZ\FELRLFUS +DocumentName13=Opto_isolator_OUTPUT.SchDoc IsEnabled13=1 SelectionScope13=All -Order13=6 +Order13=29 IndexEnabled13=0 IndexStartValue13=1 Suffix13= -UniqueIDPath14=\BPXYAMLZ\OIPOXSGF +UniqueIDPath14=\BPXYAMLZ\SJLJUKOH DocumentName14=Opto_isolator_OUTPUT.SchDoc IsEnabled14=1 SelectionScope14=All -Order14=16 +Order14=25 IndexEnabled14=0 IndexStartValue14=1 Suffix14= -UniqueIDPath15=\BPXYAMLZ\EZFBFANN +UniqueIDPath15=\BPXYAMLZ\XTKUGUHT DocumentName15=Opto_isolator_OUTPUT.SchDoc IsEnabled15=1 SelectionScope15=All -Order15=27 +Order15=26 IndexEnabled15=0 IndexStartValue15=1 Suffix15= -UniqueIDPath16=\JANKFIMP\NAIFGAPM -DocumentName16=Opto_isolator_INPUT.SchDoc +UniqueIDPath16=\PCYNFSRK +DocumentName16=Controller.SchDoc IsEnabled16=1 SelectionScope16=All -Order16=10 +Order16=4 IndexEnabled16=0 IndexStartValue16=1 Suffix16= -UniqueIDPath17=\BPXYAMLZ\NZNMVOSA +UniqueIDPath17=\JANKFIMP\GXVLWJSB DocumentName17=Opto_isolator_INPUT.SchDoc IsEnabled17=1 SelectionScope17=All -Order17=28 +Order17=20 IndexEnabled17=0 IndexStartValue17=1 Suffix17= -UniqueIDPath18=\BPXYAMLZ\IZSHSRRX +UniqueIDPath18=\BPXYAMLZ\EZFBFANN DocumentName18=Opto_isolator_OUTPUT.SchDoc IsEnabled18=1 SelectionScope18=All -Order18=15 +Order18=27 IndexEnabled18=0 IndexStartValue18=1 Suffix18= -UniqueIDPath19=\BPXYAMLZ\XTKUGUHT -DocumentName19=Opto_isolator_OUTPUT.SchDoc +UniqueIDPath19=\BPXYAMLZ +DocumentName19=Connector_out.SchDoc IsEnabled19=1 SelectionScope19=All -Order19=26 +Order19=1 IndexEnabled19=0 IndexStartValue19=1 Suffix19= -UniqueIDPath20=\BPXYAMLZ\PESAFFAA -DocumentName20=Opto_isolator_OUTPUT.SchDoc +UniqueIDPath20=\JANKFIMP\QFLYQMAS +DocumentName20=Opto_isolator_INPUT.SchDoc IsEnabled20=1 SelectionScope20=All -Order20=17 +Order20=12 IndexEnabled20=0 IndexStartValue20=1 Suffix20= -UniqueIDPath21= -DocumentName21=Main.SchDoc +UniqueIDPath21=\JANKFIMP\COGFWRKA +DocumentName21=Opto_isolator_INPUT.SchDoc IsEnabled21=1 SelectionScope21=All -Order21=5 +Order21=8 IndexEnabled21=0 IndexStartValue21=1 Suffix21= -UniqueIDPath22=\JANKFIMP\CKHULMWR -DocumentName22=Opto_isolator_INPUT.SchDoc +UniqueIDPath22=\JANKFIMP +DocumentName22=Connector_sensor.SchDoc IsEnabled22=1 SelectionScope22=All -Order22=7 +Order22=2 IndexEnabled22=0 IndexStartValue22=1 Suffix22= -UniqueIDPath23=\JANKFIMP\COGFWRKA -DocumentName23=Opto_isolator_INPUT.SchDoc +UniqueIDPath23=\CSNUPCDW +DocumentName23=Power.SchDoc IsEnabled23=1 SelectionScope23=All -Order23=8 +Order23=19 IndexEnabled23=0 IndexStartValue23=1 Suffix23= +UniqueIDPath24=\JANKFIMP\CNMDFGEL +DocumentName24=Opto_isolator_INPUT.SchDoc +IsEnabled24=1 +SelectionScope24=All +Order24=11 +IndexEnabled24=0 +IndexStartValue24=1 +Suffix24= [PrjClassGen] CompClassManualEnabled=0 diff --git a/DisysCNC.PrjPcbStructure b/DisysCNC.PrjPcbStructure index f8184b7..30abf35 100644 --- a/DisysCNC.PrjPcbStructure +++ b/DisysCNC.PrjPcbStructure @@ -14,6 +14,7 @@ Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolat Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT8|SchDesignator=Opto_isolator_INPUT8|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_INPUT1|SchDesignator=Opto_isolator_INPUT1|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT2|SchDesignator=Opto_isolator_OUTPUT2|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= +Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT2|SchDesignator=Opto_isolator_OUTPUT2|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT3|SchDesignator=Opto_isolator_OUTPUT3|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT4|SchDesignator=Opto_isolator_OUTPUT4|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT5|SchDesignator=Opto_isolator_OUTPUT5|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= diff --git a/DisysCNC_PCB.PcbDoc b/DisysCNC_PCB.PcbDoc index c7ddffc1b96842444b688c5253d42685f9336362..69d21f3d264a19a71afe9d75ffbe14830321a1ae 100644 GIT binary patch delta 218553 zcmeEvcX$-l_jl&*rfjw)NG~R#*RY!&AP@*05<>3~#6oX^1&}0wR3QPBizwAD(nML1 zj#9(|3L?F!2!c|SFP(kQId^tucQdo`d4Ip}AMf+R^9*P9oX;uu+&Xtk@{S#r@(vxB z3hPSA^Xj$Ay>AQ($_)+*a>J1q5)^b7j=a#IprA0Ia0Hov!Vwe>6b?61GyJvyh2tI^ zuULV?G06sUI40SHf*!#!DFS}OF(EQ2=m8vgCBQx$c~1ZzjtM1$5`)|&fl(?b=ph_0 zmj*>Ra?5~&vH*Z1s9aFccsTCEkyjpmR|pDP5F;I%&%&6)ydj)D#X<_-s$Z>6zXfBA z-NN1ngd6_xdHXCi7u+#kwT+6hg7B!6*AnT5o52aJT5?>HBeg|*vwEq{xP*EM@hy_; zrKUPt)@zm!pO_Sv7~eF(+2R#Gx>ohX1$kjR62PYT_idlDg-zjfQ_7`jNI$F8dLGh2 zO~=hZnqwBf(_egHfzj5i8nEbJbmWa^Bsj}63wrLOSJ&b1=v6=$47FANH2i7FcF1I- zNVDH4jggKq*OUJHu4^n9U>*5icC%rq?PX@m*^0%2ikMK2Dk368l8#Ne8#(=Mqyz_p z!vKd7j$k-K;0SfyjSS9<6iF3p{l4Ii>agK>y+GMs!i*MjeZV;C^{bo*>>t>EA)0&v*bfhN6$0ttgmL1!= zM_P(AB_%OFnSQqKnU)Y27Z;xtmqOB>X-V;^j)deyhilvj)5vD7E9*=znqpFtY~8eT>vk=AR*6gNoe&@I zNajs;nCg{`ON&z^@oBx|Q{$5zLK2^rphyxxCpj)50VVa#PKBSyQ+AjVq(s;79j4xF z;cnB@Hc>&IigJzFZR#ck&x6!PEQm3d@fY9x(lo}PFbh{=<+{e~GldwW)%@iHreRiM z9hI_Qtbn4M2TV+|Jb2%zakhSPv=BKVXUGF(*vTTuTk%HltL?^E-#X z${nWgFy0_6ysay}boerZYfe~rsd@WTm(0|=00AdZQdDQTVJ zQzvGorga2_(~;H@L{29lLFSB0%T56jpO%eQoC#^25|JhXMHpC0L>QV%&4m*%mVgSW zK$9T~2hb#-7!^bl7YEKj9v2T3p2cnF3 z;0aiWcj8F`oW!N|1ZaGGT5eYaB&2mkF%%UH#wVq91%vU)XonBr3s? z))mTP#X#w5BNkVXl^`2 zng}J0gE$hQq|k>m6QQJW5J@5!j01y-U@#60CW65@FqjAiomhiC_?mo&*N5 zCCxm8ufhsnlpu5Ra9Mq69nvYpIEF z0_IYafI{4<*hC=kRBRy-c`7uJ+;-r|>A)5OuAB}u3s#*DGz(Up4l;`;32E({6DJW) zz>m|Bl-8aY$!YEBcS>4wGLo9s9Bn|qAO+|cgoloifz|7Tu91QD>vSgM!Wo3(gx-;X zRqTZBk%4vWg#M9%l?>x5oS+}*AQ@QAPUs;SSkF%AA{kiGPUs^USko|&!wH&(UXp=z z?SyWUfysA5N6EnKJE5y&VEUcVReEJmABDb>fw^-+U&)|O41Fa7EkjqyK*P{iGSDvc zl?*fsoh1XULT|}HqtIP4&?fYk3=B3tm9M{UYT%muhbdK(2IFA!B9FgnYV~-;fwVpz zaUPF2k4K#U?GeWXBTgxa{}3ELk|+LX8p+MihtJ@351TT@qKHpEY^uZ$&4J}o^iQU+ zCwsxFR)R%1gTnxa5sqLuLg2V$bd~>)>5OE`gCDqZzsvJan5OcF6T(9c{aa*l=V4RF z&}OY#ckZ0kp07V|E{%p~!|F7ThhH{?X0&dT)w5f7zG50kmK`>|%F~a8he(Nh{g>gL z!`k#{*}iA%maTcm8R1J<+c>`KRCs58<_?6HhpV}~yPlX`NAH?SOFZv{X*M7E&~)GR zwA(aT;yE*+WL>53_O6{3!*dO;kEQTWCD)C%;lCPOla81shjROIQ-~|%rsF}!nvKbb#e%>x9e=9@DT=Alj!h$5fnI|=L~P>+F3n(xxsbO8NR8u2n6zEkw<=ZeP{7N}0wbYjXa2!LWa^GAPUagifAL@u3 znYmBQn{c)mjwBkdD2DoeBU483xZwO zp9!C9a9#R4oJsQeOLxL&m|W*Bgm*Kzx`moAmK3`D>nO8ZKJ8l7{761MSJPYx=1o}K zPvw(qnfr#igdA) zU}jRtMksN|0zN*`Jfxx!cmPMmWe%9Yf36jun3E+wCd)jpL;_sHcrIin_DoAmbl`=| zNBmHGb1B#14(2EpnVjm2pi7;P`1THf*wqOTB~s%PVjZaocripa;u3j58*>?M>S}Jl z54~pY!>Z~g zfxzqdXAR8LdG=H0u|C&i5JtSXCd=m6J~a2_BX^k{VJ%y<@6^6!*Uqk;`^+9IX(EMn>XzBDYlm)Ge85dp zDejtP9{WTJEFQfAN|a0dwySOn^Ggz6UfS|PM4S%-F0J#*SxWJSWi08K_BOm!iunoX zSRF$w6N^!WZ4>I3p5x%L6Pv)c7SvsQj zOnz=8xI8n={I0~;o-~h(O!h6USIg|h^9DjbPacKzfYuwPBB_A}G%U_?ghTR7uBXSF ze~|n$;Avtxx#pK#XLwTh|=7uh4mq#RO z;UyE2QWlo3_S4-IQ@K)*%d0y<10#A#zrK=Mx4A`gMBiAuYcF_1P0X%`$=opoE7Fv zQbfE@`%Q?)3Vp)0=pFNL#&;~W*tu(oxfHMUzIirZz6f0Gf8V@EDgnD)zU_k=RkjO; zojEMh;n#Rb_%UBn5iGa;1P9N=R3EoKEuOj!&-oOpqwiXCIRK~lwxr}_zQScb<^l+` z)MVdCy!(!@^eE9{a=q|5b_M^|o9qHZ_o0jUj5-?8Y)t_h1zXTYf?szM!Ei#nwH**F zu1KF+whJST^G%Cf3=Tq*>$9)S6&P`-$C}m2rPr}uX zCkATQo&(?_!s+J%YqObAf_dL%WR|f~WPdo#fnxw1Pr)&e&oo$~U=sewV5yQY7C7VJ zcma+V;lQQD%RtA&F#(QAaJ&Ks@=pTIhl8KLZ7$%WHknQQ%poYr$?u@fBIA5UY42%C z?ffN3%LQ7MS%iOD)q%zJ$6?GsqVEV;WH@obaFWI%{FAKO;iFnYC7MrQcz*L<5o5gJ zHFHIm{j@n68jb(3?PaoF8!%br9Gdh?J(%3J!txPcHxl+m30D6XfJOKZEpv=^>+0x&!G9H0xNoH5U`mK{ zibaQ~$!GA@onW9D_Xq}xlF4u@!I_j8FOQa~adEMUyl|B{Q|TcYe83}^Oa@ApC45x2 z`8vODw9NO@OLS=Ib&xD2U9e1uhFb9+Dig40;xdR9QCph8A|cIWfhzDtCB=K8w$_2M zt&YVK16|d3+)a%0EnYM39tYTRcCcu3c>9g#d5fVW?nM^P+kFU_&=O!TBH6d+$MJa| z!<6gERx>_)DFk?{Btm^WLyw}RkqeeaiOlXROX2ye!NdG=mO5o%v9uxu7E8w+mVBwm zXq%Mkf~k0Qk@h7|uPzu~Vea+oz8)criXimslb(s02EHi`EeE9%$*I1XkY{Gs&NNF$ z7*ON*p}po9-oLYDv)4%DP6t*0aRKHzn5>VuHe^_i!G!PIDnVG7ZTX2q#}0G7+!EFO zx~)eYdhE|q*(AT2CqBU$8<(0I#~q25ZZwPX^p+L}r=I(FJGAH53uTY453|4?_Aonx z2DxDqbIqoXWNm#x3$EEF{EbDC%}@P&lzm#qC_3GaEPUmYsS--cO~g3F1=$OS1KVcHX+3k zC--u=0~ni-;N)ipT0Z9QErfPD?m0^cD-jP4)fG(wEHhkSct0!Sw;)TEOX!s>m`X$W z@~xJJF8gpxGAjf30h43lE~D%+J`QG=q_|Y>oNmcd`c^1kGToBK$1j6%qWmc6M3M0+ z5NS%Xym*7_C0EWE%TOlW<4xz8$CgP-Ns3KQcFGxnK9LZcl#rOjyN|OR3v1J-#yD6c*vzFB9T;$BmvbF?zc>gRdC?jpj(9r5cOy zSrNenC67D|mv&$)4IA{6)F}A$ry&n}7g$2W_*-9??S@3S()LUNy?DfMkS=-K@K64(cX^l){Se-F3T9n=EuCbTZ1{~D{p2*`$}}PdyfUShF*o$X<=w!fuy+qWX+Kb*Ei+jH|x!EX<}D*b#p zHF(R=FuYk^nx~(#gxfo;8EssZ_ekn*cpWLh%dV|4%J|lw^CgckT8aoVNcc$1DN7mq zxouSp=pp@ROT(&mH-!gTU#kDd&&J-{9tviYv?ONUb6t{S63jz`Bvg}RGlDsFZXBD@ z^DQ=MX&W}7*F3KPAAR2?_#4-s(%2Wf1z(vXc}e8Lun~{4gBwn>sgh9HFK>UsQq#Vm zz`!1L&u4dbg|jP(165dka(4Yyk5eX5F=4As!o%%8`1>2i=hxq3^@BDeMa^jYbBl%< z_b)xiWT9Y=`sj?o+;j@-H}Roi%PW%wvqNoT@LP>H8S4LCGPq7$7GH7E5^W30nPY6& zs|oA>^H;{%mw3kmmKa-f_>aWHT9SEokHvKx&l>>m>wDi{!;FReU1XMCkQY7{E|bSu ztRrorMR;0<>!!tOGnB$z(#g<#rob^3j=6A5&{J@nhT}JQ(CaMFb8wu8;{qJN!0{^_zrpbr9KXYH z369Hf`~k-mIIhC+Cmd(sxCX~{IM8-Ycrfg5IR6KZTX6g*B&b%?zS4L( zLs}v6041I$T(tDFZ~3eQt1#N(t1};Tc$?oq@tM8t3_&s17}E5CkAz~>?;yFhq?Tdh zyaaa88EXhRoKF&4#EeOXEuYS1NWWRaZ~SQ~!>{kRgonxxmhjczT59qqzqf?(&wjVm zu>Zf%7^C^#OOTFNeutpzUWTB{w+}L&Ol@jd_PWvd-~$7t**@&f4b~!IhEF=|GQ!{~ zJ@vJMvZts6`qahn{ovvo{O@i2@7{jP9J|~@nXDjk58|*;?`~?_v2-`1iun6i=ILl_ z+boCkcqYq8)qaow@$78tP^>w@{B`9Kc4EvNCdV(B?wjqXZtbl{sK}jWBX#IQIn|L0 zq>NxuOA1w_+`XBsA&{~fJNJ2ORbBqUu7?j*neq=Nk19f44w}i$j*tEh#u&K?%Hay& z@&P+=Y+>GG3@`UBwAibrE$r5)=}a!NfJdKRPH^58NNZ=c}MQg@wvPqu@XX zsjoD%+-Ex(%Qs3F&Ft#3mCU?+7Q1?64VH!AVRGkWeB?oBp0bF^#T6D!2f^amp>MJY z@6Bei5g~z=7bX-jI0%YOd**$^4-07^jtLje4JRU=nkyG){LAYALnYL^JyHAs< zXD;@gRPiw~oQIqA?BJP)OY>1T;oe}oo6yQb|F%T%oPR8(_>dDYYbOoJWasK-u@3Wl zuttkJV0iL;?wQk8)@#p3#^-oRACkS-C6y_!C#0QE zoMpSpv0h~6 zwg(LwL~DqMZL`!R$oB5_*`TUDS<#})N%gE}L`3qkffZd?$mN#gf(W?mN|G}sm~v!H zcI_3ryf~8NNC{rHL-aESTvvI*_h|yra%LsZ0?BtdQYUC*S?kU>rMdV}ag&9gT3Z`F zfgQ{I`W4Fg&--IOwZe+ie=)GZo4I@yt{q)tKDWZ+@*>QiwyJ#mM(Yrp5FC}3SB#<6 z=SBcjy$68%=fyq9Mlip&ve0rfnf@>MYCR1|1HP~(SW}5LvhMH3p^dL`=l8%GPptg< z8>L=%$8bV*AlAXM4TC5Ab5)>{h*keVM_lX))@))GjLW8VA1S5~>)NR!s>fQy>i=0B zEo@^BfX7UQb>((B;W3L?%j#s&N)c^Y#t^G{PFvQnfRFy(nrN*{9PCr(;hltD46(M= zX^Gv9ll6MUTAMylMU7HW*ySkFLBx7yLPuN^2*tq)3zr9Ii$`s)}0DNXcb6$Ibey$Y_&F&a{1D&)^uqSKMTa#o}f*RVEaYp+)aLu7&CVpoC+&hrpC@Q_OC8qht31Jr6g<4T zNI6=_4j3$?ZmmktVcouywlo>Z8|{KdGn!a4uJva7Z(ZWA0Lvy=rEfK-ix|EGoJgH` z`(xG^-gUP%3eM*!=Qa7b-H`Pfd?OI+%VZ3%Jbtnl^6h9$u#WaKvsU3BP;_-m#)y7Y zo6rYKzsQDOo5MrDf@lQmnro6j%ieRE77LSpz*o_ah2}jhDVn>#f@q_8{vK;--ffSy zwm3%?NXqczd#ttK+_0BW%>{W?ki9^xLn!8br{md_dJZ0S0%BHK@v}?vGsms9H}1Da zNY?2DJ=-fEr%cg+rzos%mMjvii3$r=#i9Y3h&8WW4|b($I|D!ewKd)%5zpYMv3tW*|(#z@x@17oo|bo-LSo2jdDAUTP?g+EMpAh~7Dz08zhu#=d#< zykLzW*2?J5+2VsMMTgDa49?arlIThjyRa>UKpkdQV5{19kz08<@j5PZu%S&l$^nEC zuOKml!L^`_3nkvc^F!FGGOZ2##&_1#u=?#bC?Up6)p@0FA=@PhII__ax}>LJwUl6m z&SS6DY)<*&OTWcT%-f-Yo*+Eo*3``IaJpH*etI+TP_254xud;|YRQSRFSAa1?J4YX7K>suEA~9qSsY zDh^^DY%o+sIRz`Yzlw?_*4ctNDyqI=XR_e9P7-V9TNdnCeZD}*|?e$wfZ8l zaA60Fd4YOCuwbF6u*L}%tb-L+6=L-{c9K{$eqTET4)J=Rc9Lkb*46}SGVwg_2at8> zMlbvbb=Zm|J9ck0)NOQK%y2CPxYbW8D}z`a-o9<{G-tm3h&9C6|3*0@mkwK#xf_0l zjBMG+SYZO6chs85(|>{>a^LEwPPq-qNWt|ymDQ41xOl+}5^4#}i8V4|x5{cptlXKu zs;qQj>r99`ESA?G_kM~DnXPHwpzU73ANV3W7cqa zEGd)MfrghQ$Ccp)Svb7(0Tv9v=3_8G(U^<`hU0Xp8vkAp`*FznP_kd6=UHiW&d2`AeE_4CFr2?aw}f{a2IqHutdYVs~8z(Gy^29g^5s32udlGh$5tsnBdTGjKE z|0YQ>@QR1Ei4@P9p0Y;syaJn%pUtp`m6{%Ub`n<+h zG_hq<=`Hdw{K_oj(-ry13)Z1U!O{!%%qJvxDG&_HaM*{_g*$(@cCo5B+^5qH;(VAb zI}(?MG8kH+J~;obH9HcAb~Rvquv$DbC8Per)%x*ziih1phQsz^;Nqz`{UDU$$zYg9 z^ubs>e*IUg(W*uq7#)er@p(Wq>kF^?KdfnP0B4Ox9$!X3T9p)Pb?Rkkwsc3af*bImZE(>JkI|ICrI0|=mh8wD2Cj|9 zOxnDV$Fud2XcyiYcDu!z(b4}OSTi=}`On&h6s;g?RZIbYZ@d=(+_Wk*Z?x=oE4wK@ zbgiO$72F^a?wDwTab(~*$+l1{#^H81X>t$uQTVR> zaLjQenigP;Lmy1dPX)q$Cm&E!%PQpzfocf)V0GM6Cjs4oj7qomFKehp2E*R2E|>;& z6{kNmQMDmZ2E&?P9}I;{;m*IU8AS&bEH_`54f7d}EN=HRBkxFfvxvsHowszyIDz(T zPz6AfgY?@l;;I)6yI{)yImS^gGG-(R=tD|D@?o=T6 z)CK>lY7dpFztPX8Q5vc^#}b=S-e39plY_MF!efjua64NM*fI0j8|iOfHb;g0urZfr zc^T>1s?|Z#fGI9wJ@cBWno_rBi}=P|tz9`p@o*U`fF+eBnVimxwr*{_%xI;U%w%#cN#c za$y!CssUKNvJY&yt`AnM_pV7{~jtemm+>gSB&#fDaa z3WxV)^}!Uc3e?XT#fzOnfH4GpFt+uG-T+Rd5OA}u-m5%vu&uhzqJylr^HIR9L+zml ztuL$$4T%?fYr>BpOZx(DUezHjw&f4+tTSVm>pWeSrQ+Rt3=WJd(cEh@N0k z-GlrWnP}^y5I7T&-2jZ;)a_ns9Z_7&0_eFt7$D~EU{T!>{TDH}@lhxy<}Lun-s*O* zd8)9um<7;t(=|ZMUBMy_gRq4K`?LQd=GHz6`Y}`U#P-~etYdrDZ~WAy1km#=g!Y() z9hKU#3fAwa$`D{xWB51dz7#R;ee0udIAJ&zKzvd|A4o-0f%-Y1gkiH+-4_GK_ST1D zJqSDS`d|t{06h=C=x3Muh6>g%n37$7eLf7Z7=k{S3WseCTZCw9#l$Oso=0#3#Ebnx z1?z{P##`Wkc(J+bgDKu9u#5vNT;Rioz!u?5nyN*;oAgl&_~es*O?J$LjHqaMGO1X!dRq#gUn*=3i8ep` zYL@|@z14o|$4#3qKEJ0TS0ho;JH{^1K~oUpsQx_9JQZhFMeCbYHiE2G$o5axU)YHn z3wTUBt+qiesoyo&=kh{to34Y#v03y0fu={&bkQ^@c>&=LpLP(`OQ;6D(7GX0-o{ed zJWu}x$Qx~asAzl2>pImz%^BMqF6Z3t{;xd6w*0=Buk8$JbFbfjDl@lCvu!^v;)!*> zcqD3?`n8J2o0{5aT6oEK!AWF2LVt8>u+3BycPgA zCChlLdfSC70W9-F`8H#?isl1swpuzfAQ?A<;U;7pZ+y4^Fp%+xr+vVGWb&paTa7^0 zV?ZH|tm9quVv_Qd54f15;Kb9AEaT1iVl3zQfb}ioU}V8j0~#kilFNTs1_eBK&Z@J3 zh!_fBp|E}O`*3SNkOEm3j|PYbeFK51U5|R!X0!;6#-V%ujrnF2ym;)mIP($D0az$x z_4)nZSWhSG;)&{Ftv>@`q0n!=2_8!bq(G@@OxDFC+JV5Bnuvh_778Om>i!$+jmWxq z*1K5i0{|=(CiTwxH`c`?8RDVwVy*WF>q24fx>3bgr^4bzYH5mpY4Cz#6eyJfES_C2 zE}Tfb#xFzGm%+m#`n`k33!KwcuztToa723mCzIu!H`WvrH#PX^vC!a!MnC>pF&ebm zIWz%QJJ^unviRnwbWt_00490uyL#AN1sI>#!7CbVFx_QWfq30Z-78gW`vW6%ey5o33DyK!#QkAap(m8iGF9 z6R?$@h$|*k96)hChs6cFcA*cZMxX-qy;GhFUQWcv=gV2>LNlZgET& zV0<-GA4~zOK>ZjfU|e{pAru3~aTvES+-@9)^}!edKa>u8BA!=EwObgK3#SfY6v6uC zQd6deppVAFaU86zm^bk7#^=y=^d5bniw*&l-%`@K!?9}~ETUDQ{w)ZKmLBL({TGAA ziGr`43}XVmL-FkQ0mcM;`p$w?2XhL%bgPSvoC;%t=e1nzI-pj7yP3iqy2f{S1AxN; zoJzhCtUtlxd>M(BRd6v=H(obaCCg_^?3OCTj^a(1z?>F;XXtyomcQO==IQ(O-gzat zkGLF;?qUxQMcn<@2I=6ieQ^Z`uOR8*)XGx5Z)w|82MtyedHw$O3gV#=p@Q!?=?6?I z;W?m!ci81u5Ktvx?DFQA>Oj8)bX86zMXUO;k6s4tcRZyS-^!`=?YKZYQPeiDQdEuE z)khVpfqT6j$j8zvY4n;8+%C|2I*wWizS1Eyf?B2&r$M)UlE}XNf;isn4QL-D5*j(R zI?!82#c5zfv&qND&$p$QkYLlNI$yp6c4~`k#8E^7?Rldt0GignDP&u`3R&FxmwLNU z*uM7XV%D5gXBa)+e000%Mfr_PXD^fH3NIjh9zc83lSb++U#rnJ9WZsL(;vgKSD3yy zsZQ_~T{Je`$X6B91lA3h*5KzphCYN(^Sa%I=j#XKi(UaXd}3BZlNadN>50XmsVcFc z@F1xCZGix`sqrFOXdLR%j@~f~ph49$1~l++yT{FG1+Sn5d@yme0)8SsGXR(($9vh( zl{~M8>TgL?A`3S`B2|?WO}Yl^+ccVzN(_0Smo_hS)@cN^2Cy%MHGt>kPVJUO3BfXY z8GWg>j!_y%@$o2nBw1)Q|4(CfPFI5lMi_L%LkR~j@@a=bZMNPLn^oX+i-qBGN-%)wYGh?N!B-+)bvP=`%U^nPzjkY<{uJfP*!}GhPDi`I<)nH1!3vk5_JR z1OHMN*1Jz-zAM{aja#?DBXPaUb)NU$d#20}ocn*Ubeu5&cUrTlB8A2$<6?``fckeV z++_>lSvzb|@G{1T9k4xH?&Sv`_TK+gi;Z#RV-CN&DGeWw6{yTk_6orzA)K1L?alzm zYGA7Rt^j}#9^A!VfgUxhNu~Sp{yZML%Qhl_^X&oMk&~|zLSepiQP-lX6@CDfh^bSX~(?R-WLew3AN|@ zFBI$dw_f%rxn!>GV*pY@u?d-ySzf#}tn7*5-;&{Ir9%PntjQW*6i+>qjl8G*ZflT8Fg| zbk#KooWaJ4_<#zJI0D1ykem%sHJ*33-D=OS99Q!-ix?<|15gG#y38@ekp=7BY^T}k8o|EF~^bB7s28TvRbRnbK?6% znqaajfXauAG=aECmhyS)Gth8~yir4`#hcUl6FxB|stGc#eh>rqqYyQ+hI5qehH4taQp$s6*#WK@gF$; zg5w$-*WtLqcNy$YNEi7}274X;{26PsW(LK|2PVo2k=QBKq_0q^jo;Hn@^v>U7e{Xfv<1s5_ydbz%k9R7QR3b2i;Ho@KNREK ze*)UYWtFED4Y{QU<ZuP-1PE2E!_M5MSRdUEY#tU>73kF+ zXgeqF=wb`+Qm`BE>LMsuSW$_hdj=%u0LeS4^$cZzX*7z~>s)Wa8t=^=TxNr`jyjza-F^PLu`o49Z#&@Z-sS1jCS zrbuJWy8g-P9|9I`2?VRgv%j^47=D47I6ylidn>IUOh)|MQe$%F6{g#MS!mQ!?yjb{O0Eeb&E1~Ou`4dn@2TBm^kAN_o;r2ce*wEyX z%CL@~Nh@afA>aKR0$XlSnqa+3>%t<+*fGSUGP(%zce9L7h{X)&r1E`P^8HuY zz?MHQN3a9sbYXerDa7~7>msgKg6epxf=`HnCr3^yjVqGxeiZ{-E?#K3C|5#pLC^8I~OV9T?j3HEWcE-WX8vN$b97eOg$R@ojEE(URIi~Q78 zoA%n%A>wtRRh5=jsFRV2;rYr^Nn%TW!)Ib@!aa< zcun;{jzjp68U&kFLl>4_(_YOxIcF~hc3ioZGVV0{d@Vmy$F4VPQ=E?g4#j`6wr&6f zJ5ifp_w-@w>QG%Dt)puwx;|9M{JKhbmd#rNg-7ra^~mqsdV#IBjV0KS*g!DPl#P>2 zfV7Zb=1{`?Y3sgX;b#C2S$yOO=YL@mR8j3jLbXi{fb!%Lmp}SJlDP{>N{oZQI$IoidonpclN`V~tRhng^+k#v zijPWF5{b*mVq)ynK#4JK|CQpoSW%@qD=$Zoj}POB1c6h(F4*hlJttQUA)pE98J4r-HvU9>4+am{}(f+Vin#KL?VC{IK@Nd#w(HjbXl1K}Za~%G^EyIf z4|oGA3O*J#yptYEY?IXBZ93~AXv+n+NlZ1UH1ABB#5;C|X;6)c>=_7F zP0mzmt%1e*rrS@VWV~tr0C4s8Qw@H;x2}8j_EQbMt&c8@_7iE7vj%^&uMSMyd!k(| zuex8+SEugjCKR@|4*hiv!mcSIYUk)8@TQZuORR5gmvq+&9}!>kc(Vv! zf~di_Jf($cI?&!lE*yS4TiX+LXu6XGE#zq}Gs@r!g=_ze76Qi_TOEkR?e=_foG%`1 z9~|*#*TOds*al=S$aHpnbIZnVc=xytKlGN}=sNYReI1jPrq)iOui5__rFo1W7-KKX z>5WOeXXJLve~5y+hrt+BC_UsY{Fl!{!_$MZ=Tx$$d)kwIL zAF&3es{U^}b`L7kz4hyrPY!))(xMQ)YdMr&g|Av&-y6Q*mrp)DUH;X~rAOB9ekEkr z1xkSnQm~Gdz}pzAB7MFzfFBHv?|j~FH1!m*Mg7Wm&9;xQ*Sgc_(_Zz`vbN>j3r#Qw z^Iey%Njz^Xw8ox%`B?i4T7axD_#mPLc6#y&<1`=x{<3EAtQYK|va^vrHU@B(y$&wx z!pWNRMGb4tW;SwY2MGMh=lJR=3p$JhX;r8Hs6CeGiMq;rT5#Y58cG<@Nq7&e{ z@$*yl3_=H}5R4VtjcBci> zXgJ0K@aUP~xI8)T(7b1Wu7&+r2Etzt(gjkED}%lA{LCy3r=DtvA;{+08W1IT`gWy= zt^VSY+Ack{fDgiS;Unkh*`r#(9^HkvovRJX;*|;@8Q7^{tvb4wcHhGSaO%Zf78Yt7 zO5)gwr7rxW7J$;eW}ZDXyeoO?a3bBPJGjvJSs@q$@5<-R*Y-y_$F)^gK5T&oL)(${)TF$f?On#jJn-ns!2=x@mO5N4Ny^V435(P_Kko`P@Ys zjy){`ZCUx?H+3K-VJt`j2=*rUvIP7wpj8hF`Mjktb!*M6*^xL&s$lpFLISKiuYSg? zDtzb{`8u{?0hJw@3bs2cf%JayxDFVWEtwd2iVyy+&6jlWv?NdsS7?kEyCY#iq#N}c zjQ4pLwtH2jllO1ySYJN{LdUeIVElth+Bw8kgAJd9C`aYAXSdOrCaL>VcSY0Xxb*{# z8pKA!&wT)$NSK2^%b;V98vogs6eNBoU%R_e$Hb+E)Qd0u2-cUH?I0K*Gw;P;{ultd zSzO10YZC12YArjM3mTcr@-NnCmrg94!pl;!u9fYjGYNUdO8i>|qt=11$p!7s2e0d! zxpMkX=Qm$%y#!Z<+5ENnu-B5k9`;(wP93`U(*BCgx3I8MWoMYsvg z5h1oWoHVCE7@fGZK?{Qom{)oO9Gu^vAj5*DrmDI0^>aC>+DJ!FI=*jB^ckDdg^hav|CiBlBkp~D;XbjUi~;x+KI|g5|#e)B*WBi zE9?9Tg#Yim9zO9c{vByG+0cGu^~Z_QZc%EY@IDRx?Y_r@x#p}lSZPt~rXDi1-_rSU zqO@C-ny9>;rHubbV;(2!-y|x!*`&vbDlSp>fz^yd=YIM)QQC=8*HH!4<{0Z-*;gb{ zVhx}BfB(mn{#VxUS^u*uB%gKte|ZhxR2lZ`etFw)^}vH7DHVTo>i_!{SGtm@i1#iT ze*Nv?<3wq9Qt^ftE>7_woeCdDJzkprn?$|U!}>T;#U-ll-7)Oj6Wtyss<=dr4IggU z>aaadly;)<#+mq6|MECP?`GdU9?btuqT1~``8ZM9iBe}hNAhsP{_OW2C#twaMTLH3 zXjg9h<3#p_cxzsB=8QQC6x_{t4xY_VceBR?0rQM>`{R8+wli`=D6CNi@J5l1(Ja`fFWrYw! z?}IP?zn-Xay3eF9WDyms;ddX)!{c}1@a;!492PjNaM<9m!w~^TdES&oRFO=sXIR8r zQttokS#~wS2m3x`SB8w#NwDst?BZGZpuqc3|6&`e$llez)G>P$|I7PW>T@uT`Lhh? zK7Rbvg3hu+eGcZ}@dCr_pDR3G(QA)w_(GWYx9QuK!PPGwkRCsItoh{e6eUsp!>w#+ zla7yDly-~4x8cOU`km(*wj}p^oG9%?S(QZDKb>ZH>zk(@CrUd}_|F{{L!a&YPAi)r9BO zs-B1cWiQ-mY0jJPw66<|gr)z*N{rv%3GeVKujXFHS9JN9UG};5nomEJ7C+aI{r!+A zkKAjIDK(Nhu*@P+BjX@%5o!U;N5r4JXb-Wk9Tvx4p4r-fwC}B+eBN*N8uq_`h*g*% z^kt&Z2MIrls@ELVhL}KA^=WDChzV3>{@krCF@dVgU&Awr2~=e!R&7sAp#1zXd(0aV z5g~lddAoy7e)XJ`zaVq6JtxyVCtE!yH$5jcf04ocJSVSvP7cT?3wPTc3%`dCS0;H* zhIvj_dQOgcPHbP=9qVXzh)~;}Z=?$LimWEkezl&Y z3iA=TC!Ua+ceg5n5c>=7nb@mOCo`n;liT@8085gNwPU;_Xa%quIAHqYVbsg zY)ft<#TW@7R1&PcUD;OvNG3Z|1R-|!^dF?&dmFIal|Li(lFV6gL>jy&nIXM=HjO0k zC8A|}A$Q&RPlA~D(XXb0ya)8@s zkJW$reWZN!*LL`m4j##be!)yeXh={85MC0Q<7+MbALvr?A%VJ2JO|AAJ6QWiymWswmgVjl_WC}kmu%wZmDKiq}EX5^;&2xCam1u^S4EvYckh7S{k zK1leXs8g-3djPRFS(t<{mTT?gi9KrJk9JEbvDPVA5fwb)R+b_6N(@pinImFk5wh@u zY8oQc@`!+vR%v0--5??Oo3dX^*QO>BLJfZ#?O5TMTno& zjeTxvX~1uM0Hsk+l{|f2NPzlDx;JW0Izas-AyrzC1gM|n!>$=50qQ3?eWEi-fci=9 zj%a4U7_}uBH6XlnWR8!uaw2lK5M{w9U+m@n;>WN|2nTmN{3d0-x~ zr!r5!%Z656OuZ2(m;QojQ5fFgUP7V*0r3}AxShvjh?dCy)Rzn(-XfXBkox(;K>@?i z5XtTt()<4`@ivI=f#oCO(PyFlcU1kyh(nh9EqkysWGNEt7(IbTg#W#`;9KWc7C>Lh2{ll=GeOOq`oN`|MeyG{wl}@`#Y$S?ps_ zW*Jb+Pb3>6gNi7aayaSf*%UldC3qqF?7%D%A@vje;SeIEexhhTleCcfiMl+ zJ|Z*a@XW+DR4$}S@IqAbm(?Uf>L+U3Mu?F5iSpKdLRv`uL>o&95mGB^=Z}gS$t}bB@8_H+*JowrFoVX0M)rR4g1xB6CPGFsHqj1a*L;BSC92LW~5% zsnNY(W-}Y_7|D=U{O%=h(aBdfAxlq$S~A36%VQ8IUlAHn!(OZFX4Xbp%8*`J@_}IX z+p-CN6lEe(7OF^(I#A(GT=;pe3FWIi5>*l+x4SPD5!XVv$dcDYV!BXPNhrZp60Wq= z^^?p|)=&BOVYOE9)L1fv6xU$H>~N)r!bBTBOceScaZTn?CvVx`LTxW|EhHOA5w1%5 zr%zBMN`$22ksvLqgMNIhId;Q!L*7BS9-y<0%Gp)rtnwj`vaCZ&VKyC9?Ki=vxo7pUp>;s%Gp>v%i3=b<*R;$?}O3VdHF1am;TKo z&HT+HodRd@QN<0v!9|gkUlt_lBK_(r$ZR0|FB@F&?3il_HlO=|xerx9O6@{Okb^JX z6qTTkM*`GK$0L!g3dx9Hag_o(_ZRGDNE@EDiS?e31pNTQOGoBV1Vwd#V?cx=S$+W0 z`obkh2%UYRoLx}P%3k(Jv*4`M^VIIYdbSoTn>dpp?a}Nt3P2WBJy(N;?4QM+{)nPlXOz{`3-?@ZDK)pp}Urc2vdiYWkq~0QrL8N{nM58YaEi?%3 zNdyow$g@g7%^n<<#cKaNjYT!=jMPt(lO`lU{Ui(Dd6jg4`boYu2?3bwWsh`bl<-T|hcO{UkGOuag9*pQJMcjDCRnNh&pagCsz`B)i%kXL%pY zW4E6o|zLXFVtLw{5Lqu90QP-Dgc969^BH6IF z=-~MwLPOsyS;Uay^_^FQ_G@H_xl=~@8(dav78`nP4y%7}4pMKe zwc|#Ts7cG`wM2C;%pxtI{#sX#OlPPC)LVqUP=vlbBJQ{bL*%=E!yrg!CzP{@e>}XF zcy`7F1IWlXmKgBzajj)DQZg(xN)(M_Yn^(5j-d6n25_1x+rHpMx78s(wf!a~RU1I_O7Rf_~5{>g@UlRzCR0 zPqIHZva{xBq=G5`AZFo!x+)TW6lJ11nn5J3Z?4~hQD*Js>PGZ-EvFe$FNw?{i4wb_ zgRvu`s06K{Rg(M%V&BoY4Y_cC{VrhXMVM$4%_!cM--g%^E*MIN?dIuf z>?Dyn3~5mvbfGOlKWJ6aIdld5oV_%M{2(nVL2FStANfg;gVu^lJl14{$awZ$^mF5Y z@B>uwLy~3V*D4aQ>ZRk6AT6qcv7uFz#N2_juRZvKvCdmYhM$xLDwy&QVh(LpS4F}P zAEwQtj&x`%e&}0!O@8$O^y_}#LI0n2AtHi*y4xNte)f3i5zNK2HQxZ_E9LB-a^`sC zkv^-Oy^UwfOG4-RXuy7Ht*a|TT4UGqVnR_Qz+oE`PWefYgE~be9&0i}OdGRlZYUU+ z9b7W~k%V;jNu!uHYLTc@kRy2hh)6bUP$E*HrHXzXaB&MQprY!BB0*Bk~1B_FTEYardLQr>Mv@RB#{WHzsPb(h=BTw zjt*v|1=L@(++ZLPP=C>oB|-$0pML~xZrzI+%;o6L4xDX`RArj#v|zH(mx)La3a0$S zSH1Gm4EEQHEm)7ut&s{OG8^1&LrkE8`NmfnY)QYC?6>)?k*dt+?g%DOmFb+{ijY86 z=KVUYi3wC??%5-lKviZz|2BjK%2)gWW#3k(C0q1cABMDL{y@>FMpL6Yb~uaG+i*@o zy863|qN0W9;*agvmT!NNp8c~UQg2baJsnutMMhR-e-D=a#(jU$qZ_9r6dnENH*b-t zvXh|*>FQ>e{YA@G-zE_l7PTqD@Mxh=(Dv8DFywH&wPg1c#*3$Xe4z+^c?Zwe9kqwp zrrPSV_}L{H(mpqN#9n)464;T^Yi~UgOsVG}M?$jibUfSpAeoiP>wwft(yK#v(n0E@ zV?z$QLF(fMja}bjA!9&=v54PrPz#T6a5~$noZVE;YMMRLe#+VF%Gm+W*+Pp4mZY2w zQ_fZ@XH)OO2ztp95iLC0tRA)M_dw9a>Jf}r1nZQui^^F=n@6n^o~^?>mNS&I?aJ9d z%2{o@2bY6q*`?v$_Cn?CTjh*Jc%&(K_UH*1pf|prZCDxhH@my06H-3<3Ao@vX1~-c z!~`msi108Gng0{?5M(Z$aF37|+A?wdZi6;KHarrb0*;0OWJd0E? zU;ljzA(1Lb{DC%+DmGC)ecgMc4pcPKbJwAEN0o$f(%JjU*(v3$L@6kH9U62VrpFp* zZ%i^Et+TD2D7z4?NDLxFcP-@D6jo{{Sharj!uXcqyErXn%hjQg6|;t=FXejV3ar;pY~6 zi*+biEt@6IxY^z!_7 zyd+!BPcu|v%NWwTGv4)*7>-O(B!KXf05*UQ>XNnTFeYGFcxj7a0p;hBiIEIHw2&gI zHFU9HVi0{#yg`Oj2fQViXv@O{sxkqgjYJJn$MR=ShVo+wTRxpjApjL+AqmFO_qW$5 zj&ga0UJ?u@^V)23QR{_yUJ|tLkpT6R05*UQ>Uyk|GEp1eTVWt;JEN%q>1(^X@Eg(a zOn?yenUJZ70HP&Ah=vxhv zuXy^F@yf!+tgrhHQYvsMA#yu!8|QotkKh1p^K`nm=;Wpc##atEWJr7VYU(Yjvg(E+ z0*Jo|(1Em2+hdTgD+l$Pn{NuXj{Z|Z`nCB6cb12mCrQj_p}|8NUz1K}nUQ))BDaSG zx7zcM^k;JmQZET|3S5^pB&dTDS=UR)BawB5WK=Z7hJWwl?r)D6($l{iDX*L)f9?I& zxUuwY<_J26lsAonj^SYAB~KkTUb!e4@}EAAl&`1^E)K>O zQbb5GB4WlaoJPTnI`}39LxV?jWhj70f)uSQa0~|F3RBi)KFrh3ITXUK_yrUKHgCQz z0Swn~w}Ik7s$_;FbJu)C!5sR1D+QAu4`F+lNR?75a0~|Fz7p90D=JBAyN<$&X}?ho zYv+>?*72^jSk{te?46#8NU3phMRKi2Uy=azlb{aj`buOg8ams%*Jt(awP#2pA9V7v zmi>BN7InV^t6L!sDPQqC6#shqZg%m(aE5eU(0IY@@ahM|L|TL?Yp6&-_^Q{tu%3PM z=y?|Ts|%?>M*Z>`>)UWNLz=q#1rgVwv5=YPC+%jRfA=;+n)}0tUXmYoe?StX+7emU zPY19X*49OR$`&77$zG4ygw#uCW%TE)u4Oqx8n)m)K5qnM=1hZsq>zNZ4C$fd!Gf9l z9~(m^QiUlaiZVS&phA5cekf$yyZ;R%4b^y$sVvHbm+# zIhJXF@Pu2pdmn3FP zI_t2iwc+8N7DzQDhzQ->*-Ij0Nyk?rW4$FXPQp%pX4{qqq^956prIP}(Ip47Rb^Tm z>a87yR4@lTIfxxBY-UJ%Z#Yt4CJLu7r3tl$T}BX6Vaf<^CJd27;NqrvO<3U5*?8q_ zopN?jIjdO9gX@H6u?3LT*K6#MI&}+YNT;S`@fC9+{P2v@44Ft3ri>`c^dNx>^&7um zl5lr$_2jEa1+&BFe@NExwHeZ{OU8g zCM`daj8;Uu>Oy_Qe6@`-bh_Uz$`Gww((6WqSaRp>pfn*BX+pNWciBCZ5u~1Sa+16g z{)HmJTq&mPD8Yp3>&OV9(-^BRx6cMPyl+#6wAP*Ge0^VxwgBdf+8OM0t1wk@_-G_`&+tf+-^isW4@P!lY!1_st5{|3on$#TdLq&R-8xB!5o&nIiEL z#r*Xn#q)6NF^We+gb)nCOC+NiQa_Q5_7U;r^&v^la_88pb~mLzH~fN>kB*I~VJo2`-c9f6eb8&CLpwtsDbKKwb$!G1j|D1 zP>PUxQp8EpH1$7}p@)a>Dj5h~p4JDW@eH|G= zItvFw08JN_F&sP7f>nyDgp}tGhIsKu^bZYunTSNDtRavdrmP{D9p{!cJm{XzthFj4 z70k80%Nv%?YRr)KswS@^3v(cZ!=@Mm4s}SCKCDQvr_L@@S3`n2C{cPh>ByX-I+nGC z)eSh(A{9djDRlg@ssTr3YrmREMLM@9LSXTgn^P4aRjNRdV4|>stEMu1dl1f;Y6WGiYo!(V7e4JYPVsGW{~rc#yF8{34c47)AQehvag4pvc7 z3F@Fk)}^j4bUYGS*Gn?smj+agl_oW&YE=E~Sl5W^5~)&`J5wQozc)NXDMN}Wo0kFs z%Ri)5yGHpkF;U3GL=jT{;fp-jU?`;rDW->zqlXWs%+w1TPMJBM1dawY>qD7I`+9(y znVcku3prT+q7u|WiL5KiPdXlntm`Eiozj;wQ}1w&niJ8Ib}J zjOLRlWtmS-RZ~V1B$SvaU#sV$Gui)JuYUPB=HRH@JC)g|lP9oiFE>M~GPl=ypO`>ZCL&QoVajU0$fg^TLJDBsXsUh;^Ac zg;l=30jX#ziX^8GCkaqL3F@G(uSB+@p))shwTNovI*N*#B>J&kJ+elG22=^HHiq`s z=OVOfJH0~lNGLRrr~!B+6dLIIN-7I045_bVZlld2w4b+ng$CAaS4~?)Xh1!oovR6< z4f**Psbn2LqpFakM%WK56`m7BI>9byO_2bH&HM18p9DFmQ&i%yCL=^0khMO?PO?j* zXECJTK3~Y!H-qMsbowfLxBXPM@`>9>Rpz>=MZ^TEG7*Uy3R70|McV3Ir$^fk?D?A> zZ6im+?%ZJC4tk9tona{C9h-pn3WnbeOJ2!iNMANi6U>3PPAW_gs!Tv=BT++9Z!+<` zVfFL*4C!Fot3v(!nzIIT(5dZk{~A= zE=vCoXXgPQMe#lG+{|8RNgzmQp@k|n^dh}?LICN6-a(3Vfu$oLC4ekN1VsFyR0X6X zT?A=L?27 z#w^<7gfw~3J{9|>tS@rMRtmOI%A~YSElPEU*PWv!^V+Xg1!d=&dmfO(>*(DGHIc(V zP@+G1;5qtUC6Fe@GrpYi-1F3PIYniVCJmHSetO%F1{l!jtcejCo#mP$typa8q67t3 zF_<(qB5GoewN-ExqelmjCMNP+8wFP}5;}r3Y3{QUT*dIw0E4R-oHa2*qqAId0%Du_ zXbi>%(ufT;#d4__+x?y6WNfI3DLfiud%I!0j14tV0ulIXfB}upnj#Pyo#mPbBUo&S ze=MWrTURCxN38&U|;omYW?{5ESfUie=AFO5Q` zl!eT~zaC}CRYM`-G87P2 zKmtfnl@<}l!YUT&RbZptUzHprZzF1EUI|rT%YhVeai(|IFaSC! zfzSdox&@D5J;YYETRx2KZAd{G8)}x6$d9psG-5-|C)vbr}2o#0?m`r!5<3XYT)=@2*TjTd}Zr{mqygkdPZJ+)#0~Q3$y- z3L*D?ErAfJ)yA}8A@nPB3xfk`1c#c)_J3iEj=sEYw1_jFo;UC~bot>OBSTyRC2+Ly z)c^w;o#jUx^dmGn%Qcneve+_5?(meK(2Rh*_;e88F;FvM;%(G`v}$x);$H)`>8z=? z3iXbAdhh5$Vj7$VY0|{j|IIUedq)DY-OldXb!~T9eV|j1Ze0Mz{&+p+gt|+ehC$W?_yx89!kasro36Dv|iae{9RPm<* z3RFy*ed$FON~_X|ZMBm{8hejyzVDg-OH+`1dx9n`_D#IE@85SlAhi>X*~Arrrh^-J z+dci;1G3yFVx0x5(n~z4#<%dJ0R}WoN++rH5(^W>uS_qtvXS@hbPu^-tqDln&bkUN zR-e8*2`^f&+lF0m4J%NbO7U4OZ_Kx$B&vODkapFkfp0vZVv^@aQlQdlGo@Q2B`Vai z!LN?Q)W73+(D1u6##qKR4=?%~0J-K!=LWM7$X8R zx&?-0w z^b(J6ZGAVydbjsZPU1I}0*SRn@kgVVFJIO>s$>QNveou1X4Uw@g$)%mv8$N169u%b zLP)^$0vRz8bq_?FEA-qd>b?6s6WKYoBuK7mg!^XIga+R7lOo957jYoXswD**c`t7X zBOn(vO=DK=`?`+z=-yNWb1h!@?RJ>XFNPDiDwh+E(F(J|HPk%b-<+iC+k~uX-x{PzbN$$dCSF*uyQzlh=(w%EHQtHHfaX7J?;H@VU1xs&oTss!eD>8aYEoweqsbL{BEwWnzHtlFg zCtg^lS_QJAQCCtusxe4eST185EM(GJs1v*pFkuP!p4!a&*m@mE*yHmakSP}=Xewt)_+F^WpVtjgksIW_5pQ3u;m~l0+HVrxR zl-%vo1|)7qv#QS>qMP_rA=T%OS)~{GtMKCyjc7>BL(*boGmvJg>nHIvBF8-f@=44S zRB0WeSqh=PDr;Y0eN{5*mtvv@;xaUAUzix7LFvn6R4CSJ(LiujI{$~kF=?s|Vrtas zHO5xFi-%vE6fu#-_H)Xv*r4$%dSHXbPGJ2e&$({&tETq}$b<)vG%Tze-;vI4caMPl z=*DAN$i5Iy`##n}u!KS;xuyQauq@V_IRftxF?ffFu@Joe@%bC{{$; z+mhE9!rHugGYkzYq2R?=0}N=G%dKK*Tc z$}vuqH8(zeW_;SqK6yrK|BPdU!)Pu4coglq_?(L)TzDq1p<-!Qwt--w^8S=PxM2Bh z?gPA&qXt$j>$lj4tCmcfG_Vkv@5&)urDW2if%VINCwBVL00SDGH8Db?vs^P~2+P2j ziO^d3^rP|Vp7AN?B%y6-e3}8DmX2Y>e&f?C<5SVeLfg&w^o8;1H~5roDr1v>Dn3;* zJ`FNHeP?{SW_(INg$DB6t}6{+B_i*gT1VeEEoIp4n`leR(?{ z#@01^ag5CW;iOo+1r6&w zUaSb6o@^2US@)|cyz^HX#X^9a{rj#_D9HSEl4EQdYO4NBlp`ZT>D!K>AWfQLCq2sl zJYh7vWipT^4U|0mC&Z5i7|`gfi4hu|<(d;SS!`qf>`c4Atx4PU>Iu@Mfs%C(Kk%ag z1~fWrVuVI#O`62>UFftSRcOOOJwTc?55MU~3x8XQg1l3m?`58RTK73@%*&@O=HhoQ zQq0A|%3KU)Ld#K+wPJOxDoSMOQWWHhiu_g?u6Yvsg0hkT8ent_9ud|CC89PaO`5p! zS(FvoDo~J-AJ#HyGUdpiTr5|WPHY|nl56^Z#$qeA*F#RHo<$zF^@8O60yT4HQZlsK z3<9#mW&MUG)Xd#}&(mw$AOf;V*HtEFcIV6lG$5@S!RQtyMz6(SA~m*eghz&^zTavz zRcb9KC)-Q_sdYSJQlzc9l;{S&3Luz)ROkhksb zg^AHithGH#ru!*bViszck?MsdAN#VtwDg)D3B#(=~0;$D(!LB+)jb4J9E*YwrG{CTu02-)G zx8O{b`OMD?Pb%;5iuXJq+f{y|?U~OG8KIu!@Wr5ORayQU~d%aFjis|f@P}Yl)m5icYig*<-Z9({^n0L^puCr z&Ei+J<^vBsJnp1F>W`%%G~~_+f2xehLTKqYzp6%klSrRU$Nft86C8-+THbr^+U z30dxueY&5DA<17({LGIAa(wFUG(Q?hamptCG?3vcTc-M9Ai+bj`O`ptS5KJYhk^9| z@%N{GG?3kl@%}WB+=iPml{~o}cCzIDS?W8eg?!Rshpf$_0Smoj#%P9yl~7=;8od^* z&8mS~AlHY=toP#wa{b@pb$&FE>ynB;4dl94ueE*{$o0|_Yy4;+*Jn!m(?G7n7O(ch zK(4D%e;Ua3u%4^@Fp%pt$1$@!*JD<*T-%?y3L~h78u55n@eEgAjd<{@Rbzg*D{4A? z#r(YPRDd*UQiqIfP!gne{VTRxK;Z!P92F>JQWk#0p11;qOv*y-&{E>;i*F%VLTDCCfio%b1?)kuP&boWu_vrWkEZP) z$y4?tAZPqD3<~qiBa!ciPGc|J_$;|rghXcZ%+(r_$n2gkHuoiwb3BnrNk>O-M+&4) zu@fH|c~u~d!gBSup(RM8Q2C1&f;0;If4>ziK^le653YtUq;`_2PAG(!btP|zCO};kABq(PQbCiUVjGp8y#oeRAcacH@huwz&Lq!Fw$_iQ zAQv}mp)LEC^%PNfC`DFK@`k1F7_rEsY+-n zRpC1=s<~VFJ86Kzx57y3aCWI$_K3YOMyf(46*GMGp2}V@BUPpE6*W}w@)(nvZY^N?xdcp&%`m?2AzdnbfM>VQ1;S{(+sP!%r8CPiZ!Y zvRcNck;bPVj8C_XPuVsKqM7k&s_|*J@#&fIsn8}tbYh>PhT&O1cn=S}k4L|ka!1N+i4VwAXtOAM^1yVtUx(TQFZMe@S%_Ix+RV5%t=daDj9jog0|GGkoA9>^%d+P>B+<>Ui3s8~!*MFn}2v*>uh!9nA1MS2Xb|Y-wNzbq$ zAdQexV}}!}jFVr=hH#Z$L_qqXpdmsvb{p%~F!hE;BvwcUlJ`RX#zDOZRiYVDTm=*~ z1Wf#>phDdQE7WG)V)-h4F(ZA@vO0PHQZz`up-}@RDO*(ZqX9-}m^3j$qu0tcC$2F+ zJ7?yi&jZ2nLBab3 zP!(_Zg4YI!mjl>T=63+#)QeO07YCSB_V*CrG-K&Kww1Ot8%r~7I88vl-FT62Khzv- zIvPp>Xn@fzY>cRlNv@goEAwN2Vm~xVLydR?fOw^V)aWHdXHB))UkxA`{XGK4O9QML zc%6WF(*S6qa$>%BY-ATO%^F8Q{=982I?`3e|EX`Nn2A3XnE0Vsk-rMh{t#zWm~Qr` z<_ecJXl$tJy_jrP^}Z=pV-hT?Qe)x`>c{<)%v4C`CWh)>0gLMJ2C2%KIMGbaZP?VH zT0Wm(Rwdk$su}Gps`tk>GpPOh#+#`pnPqxk=I1J{=nd8@KF+=j?@jEQ<7<$7t-+!J z3%F|$mSH5rN(eAkjb4jY(?5!>gVekK9Z8dWGMTaC2ap(?W@x_NGY2(H+BCobYt`tr zSed0ivi8)=xtaTUyi58qH0XzEjM@wh`eCe9GlSPcKpL7V8<-#M`5D6UPeA7VbRLh| z(6AB`v-O%y10}%tYD8_ig{`)#lV*{TFE80@1143DMDhcB*oSWfr?)1O+XpWC(JW~? zn`A5GPt)`MY|?c01wTxQ$#ck*7w7$G-sYG~eoFACfxYkF{pb8JiOoJI1q=Gqw0-b7 z>6m!dVDwiu98U9qbhtH{L|pq9B&NrtdOBe)s+hE^fCAd4(kn8k_=D_NR&3M_I(zq6 z0&>Eh2^tnQ{N##J$duMXP+Bb67X#mOEKNSG&TsHr?il+uIokNN(fIT?`xI3h2f(t` zx6lvD-}ivbR*_Gebycluo1iF=3Mk!#&(C;;P$Vj3l21RDo@8Bj%g<*}15&usP;>C$ z@94?D25QqSOtnD?X!Kgy1A1914`q2tVX+A$tW{y*ndoQm#kUYFb)~cvxLB*w(p+HI z+MgQ`tt{Ml*8@`Pe~MjekHt6pXH-W2_}E`DSc6(xr-rYh5+-?LvR!1UxqYyrl7GZq z56JM5{CBQ~nr8<-fRX?jU~~&pZBQa=W0GrjgP#}C9TaFFNPR7jJ%SZ9q0#N2B!C7O z-NIBGl!)4lbRE0IYD+#~9BM!seo&LXzlwhRYoIpWg8MNT(CD?I=JRW8aDTgYDcyU% zBDuc)8<4mYqCzh~#l{Q#=dD4o0{;rA3aS)@*7$eUmQf{sLMI(d9Wb15jb1`P`ZD0g zzXtq3tp<~DiusxT>2>roVCpUD2Q_*L0qM(tAO9Ng1GNff-f8A$@nx!jV(irvATejC z&? zu7j?YO=)Df;u^h#fb?a+k$(;Nfm#h_*IDN0=%rrh=ld)Dr61JjB?P1|1AhE#zz@_a z82uJJcp@pNK>ATZeekeSn+l46@}m+J>L&bH#qa6Mdte#vs2LA_4H9=P{XRRP0x9-k zlL`h4plm9=B8y5JeFZw+zO@+O*aI6ee~&M-0bBn#e+>*Nx&ur??Gjb1BiJgv3*f3Rz&FS1mqt&d=%Uh;JtJw0CZBd^wN z2FWML77bW5cs1CjVI{~|HF_;pO`3mMUN2`T>wP-tfoD&qN+5ZT3=J7{*P~nb(E!6N zOd7q!THBTD%umJFjl4C=KJ>)AZ3dDvhNelGdmi1wj|LcKVbbU&mfG}N&kp3R=bb*- zOIq!34w7e^-(Lo*y5GF@fQm`1&qRSrr_GdZjg+X6y{D74>Fvdn*x9dNK8u|le~h9= zFCiesE-V@7_Wm`{_n}sUY5aisY5lsiw_o!lPwvmlc*{LX;(0*Ltd3HnObx-XHPjsZ zxs<^iV=o%O*iiGPu++@oY1R~RP_;32 z6|)K`Ruo7D73wB@l*zH5wdPRT)+AG(I0Eu*Up|~^s5uka0!jjCfYB{@YYaxz#w6Fw z_>=kBGO!+*o3SPt7gnDn{7}=Rd2p_-p~>GsU-3XDcV(&h=P$FS^@=(Mvs0~Ys%=&( zshOOqj!AQHKy8DG-(c3fK3mJsL^rH!Vv1Fgn!j$EHQm3iX)x=?)H5-QQ%cS7%=Jy0 z7xk)=Le*Pf4w$rQAUnE+9}VPiKVuv!n~90?uZ+;G?QYZ+EnlF=>jHLpRUr2 z2uME^^aZm@8}l>UuK!%BOd_MZkjWz&faLKRnxpmGqJ~L78l5$1pccVEZ39lQy}|yX zBDfq;`|kvEuD?#mcu$ekm|q8y`>)id(Nmt1(2Q+B@~+G869m;?Tka6u#GeW%W@1+9 zMdk|G`-ZO8qi^1NXu1q7LEH_@>7FNyv2QLIm_Qkgla9zod zL=SGV^oB-vS2AQ+PX5l)7o&V#bYM4Dxs{G7D^t;&d$N7PR6JC#;0TOsS+$e!qQBu z=DTqrree#3d^@2A7GPLO01Ys@g^dxlF^L+_1nq1J7V88pJSB?iTnq;>Nn6LoUtC0| z!oqka^c%lIZ#Gt1_4t6kxY-n>HXwOqj7NxKRtplvF>A`7L>Qdpbv zrlZRF;go{h`AG`g9#&1ps8FylY4j2b(wAW+R!xUA%+HX#UFm|s6={!rJwWo>EE=#F zHMF`-!%C2`YV=wx8g?I4?X;{X=F>)Tv4M*_Oz7_u(_a>5ycrA^L<{1OV}GBRAC-7% zm`P=SL77QqfBlzD1+N+tFAX!P^djS>VJ4M$)tFu}?=Sr2TK3m};ZVv|;`Lv;3GXjf zm3W_+i4rd;6K^3isqAkCGpY27j5mWlj*5&4&x7f`e)KJUIcJf!Cw*jAtwQ?9Fi#$> zZhE%0@@Og-o6<+-SCwKRAt9cykWUdxVt*M9Kc;I2Tq6a@6T17`Yh-Q-4>RF^>=SFoXCU2CqG2GxCs1nemI+Kab?2;0 z=WprjFOmqz=5N9RHH`VUB>#Hicgn@03e7cmA4+%5;1=BImD%xD+>!G>2;|J>Ye%kn ziduG1E~-^+uYh#tY*73yx@Ac;tq@mD0qKsac4Rm$x~B|%6MF=uPVxK)?pDHFICbYt zga$2iZkTHB`K`VgLTi6fl8RW}IRh%~*jOrPcNFBjZI4_kUA+K5IHqy1v2#u+P*%Bn zA_oNt_jOj>EjlpnnUurmQ^)E zEVS=A&F%e%fV_A34RH#ws_KKiIr1F$PA5zbR!xSzpbHzOz%WN8pw_N-a58SQfXG)rZ@h2OZ-wi|NfHSr9=Z|OrB)8PcLd2?y_ZYpE*#1 zc4`>pLxmBaz4?aSArF|qgJGP4+qNO;`NpI`+8rgV(WlCsy2Icub#Nw}x}(CEbW=W= zR+|3w@D@rth2xKJ&!4&WgwlRjHoB?QZDnH3(k??Brl$1Pnc0%oiO7o5?hJNSn=h4R zQaBN~3ye_^VwWr_s0h^^CGsGAs_w9I`8zBBiz-1yI^9zPUlpc;_Cu-mgs_$!fAX0E z*Vm2xa|ud6m>)`5izBEzN?qOIkda16r#nm>o(1hhQDgk*J?w!}ze5Newm;O{a&<-+ zhWYUiI);51mKd0q+EbJk`6r$C?!!u^(K{FgP6X|LJO%%j2}nqEcJIj3fJ+*I1GAd|J>G`)OUpl)Qo6Z|u ziDQ7fb0&_QART*^YDxa_QB#%jy~3#21Kc?S3Y-YqiQ0g_K?$GFSreHP|Ch^N6`pcM7{MXesJfkh;Ci!_NR1pY=mQH zoNNp`OLGmO7bacA2QYy&`%>*aUt-xC9wmnkOd}xYKb=Dojxt;QFEEvutu?K`=OT%{ zGZ>}WnIB4puE_qt{I6Pv5o=TJs3k@}7>AGoXQG8p&g3R5TBa{O)OZEiU#BlsOIBXt z*fNQM`H3^r5pz%Ft3IBV*g1uyzBQY|rRKH}A^$#;0@gZVo;}&O{;&WPSVvFqL1Lf$ zKvR8{V0Y$+QX!Kf#M|d(*~ZcG^FJkmcIqFUjm`+OzVa_SlaE3RDHRsR zsg9FqiCyiyivlKa|3@>HN;aN$HJruG*+$!RZBHKNe2LPRV&jjPkx5LLCw!kmxp$_K zV~E`iXYeYLBXir3of9vh^mQhE8I+nN%p0}6ML*c(>`Zn>v4v+Y-AQ{l?&)Gn!-fXx z$HbDR{=xen?$!3)thdp!5y{rkg6A8OB{A!0=uZtD^C2m)z8DpRQuWhM65BQI8~SQU z4Ri*$QyOJHL>{j6x&99MxY9@E=DW{4rwzBMF4HKiL2e&4&LssuY#Y9sNkHbTHJ?-Z z{KIGVCtD^ka5m-POqb5UI=aww5{y!-yf!jta%K#!zFNACtzz9!YKU|uurCIW#uG{+M#!VxSv4cX zQ?wo98b1dxvIFu6(`>4@=VyEOYFP zGWl@Y8Yo7q_`%6pPh(40N_K!=s91-rpKyXU*xuC9nU#X~rwH2W3-d3JOA;HiJ4$)# z8Aw3ps5aWAv!9maR(j9)fu!!72c^{+Y&DxN6_bB(W@e?!mph2SgJMWQ8i5kD6V<@T z;`&Dwlx9O8kmQB?GpRmsY?;J%KVFNEum}0Y59?e~+{ptHkb?0?OhBZw)VYf*8@~IK zh*;gV4Dtb#pq(f&GP3;dKGPEi(wTXtDf`TUk&5bW=o0+TJ`z~5mhDy&fjhNKQiqzKP%<{@PC$-aJIil~#`>2pwrky4 zCFjfbelfAh${8&ibZ{LlG<`AwnJ#L+ORAZ=VjV46dj7j&I#q4cI-E*4<)f?-V(h02 zX`AIM$&^uF&`tBd!w|*%!)HJ(VPNrECOZA*8lv@GhSF(HP|~1AZd*ndE?iG0b^Qiq z0E+o%Gj@rAlT8iR6M+Z7Y*hAnnUNB-J1R%~0-|o5U5pCc36uF~(qumQtY9n^d2vVW z=$juYLF*LHzrE+nd~8(n69^Gv1?l8WQvF`$AultQqJj=gvGp(6K}5%ZZ6-QMpq(?f zv89LXyF?dkoJK&xyw<5RN@`;MeRO5!fhuBvJ7MzIL2Tk#+I!+)0&?i=@h+)02mYYT z56&PUtsz4HvrRy(&cKPlokFZ{PgK@XoSaDvmJgvjfehwstPx^F+zr}BnLt3cF7la= zFC2fw(aeg0dBcN$(FH4}`(Q9C_)@|eWUvs+@DL&YurI?~ok4ETm+0tB#~78k=L~K2 zJn{cY0SzIlPRW-)!UvX}Kw-uXBO^$sb6s*K0W%1gdOOS{?ws$~v}8QefTfScxDM_-7LkiNUr4XJXqqC13WBbY=chwx=vi z;B9m1Lt-8Khosnx^HjtH?$oVh8k2S{Q_3$p@Q(fC_^r<|`C=pRhUxjYC%=@@8DG?I zr`&FxmJYsmU+KNg`LHCZ@+I$5padN-i?IGx+Hm&hmut$ZH?s&Vx`7OcS*TZY|E8TT zzDLX5ZKr^AqGX7?LF!m^7OZ75MBzk)>g0?X4V$(99IpI|6<~wH{%1QbnSNyH%Vn@^ z1}l69=4XGA&SrK#qtr`!ON3J=N|l&cUWR2=_sh)S41UQ8r%ujf!^FwE-KzfM(;fDt zRk*Tp!5Y$jN4QeH%o@l3A)UeRVxR{nL7Fl9{vs)1 z{iSz~^5j(M-<<_4wh&1Wv12`7Sf%7@`gO@de7{@e2@z5*9d z3GIe-^FRA;W?%*-TIk9dUh@Dx__YuJv4-i#KX4b=naBgk8mrh@tKB@j;1pPcvw#f~ z>t7!jJIvv=-zy!KXQHtoTb0-GX&uLWNoBqEk+SAt4%(~G5Tu<#lvJ-mDmNES-C@ER zNVvYs9R&@7gP5gZBLDW}mpAg!GD~~qMB9vXbqgLDcJ*T3E~oNdqF zUTJA-Wh|==&B*!f+sga>yqjkr>R|ekF`a-9q(1*&L2;3=+a?%JF)7^QV7cZ)V zmIXeyW4^RmTxBe1L1F&w$(PRbtCaByare$1vRCH3?q8H{-ZhYxXqDS3MAdZTfxtlS zSYFWy3$ZREuJRruxSYsV6P>asDHyy9e|3ncC(owG5AHBw4bH%+6P4EJRbvWGr4xUv zPeHDD(!|__eb>JvmUZz|db?wNx^nneDBsPQpq(i^{=qR&I2m;ADc!hxu{lPckx_;y ztb4R&4})<{3G28ERa9kBr7e!mY8YuuJ!%9^^(2P=T6GF-RM>f*FIQFjbx5S}YpG+$ zxwP)my|zteTmO=nFo$3Kz8IuL9yxOuA!eI5m!|#t7@j8p>6Cn=c>X^fGKr3yu$u@x zCq5ZQLo7qC3JkJ^)FvcY&)kdEknGQZWe}?D}FBZt~lwpVaEEG#DqEY{6Lu4 zWRenj5Kf&!^ctJ2iaKdL8S!^LTKIHk3Nv?i*zxPVkgB57?kM2{8YX;+`G?OKC)az# zx)&@-!Ouvi&L}Bi4bB`W=AWI*kV_75^%I^l)USeaYMH!X?R4I)^hk*@BB`y@95I?~{SW`iVOXwj{ItFT zt^7?}B34Hv=1a+iigj%=(|n`a60y$VM0HnLiT;`U69O{WmbUIMr;Ar0V!v^6 zme26@)8Rz2YFBOY(_uA{{IsFIo8v=C zmYvBdDKgm6nWThu&$oNrQYy>j%tC{E5F%`85vo)Fkd(;7nx9V4T95p$GRyTZ*+E1nT(bLjI_jkJ0Y+|Vv4s!q zJWR7hI4`?PN)_fHgAF^fWuiqOgHgGSUUkVE4%a*o{n`iEWc>K&?`S6TA@Tq+m^0b3 ze#sI^o7c727{|vt|CyZ;EaRlX*o`3r>(0b?uOdLX;oQ*ZMbp8}KXyyjvi6_ksTCJwvp3i^w zSnvO;T>CUk3UE#U(rHd0rxF*w+k}*$-BH|HEll7;unCBCrq5eQ`^TAZ>C&AuVcoA* z1uKR9&vt;3&IAT>+U*i9^C6t5ixRBP{LC3m_kRftr2BjbCo><73F=HXOi(I(aPLew zVGGCa;7m{;?Y?~0zhsQU2}nC;-(MspR=`2R@_Uf}0mnc1gF92Y?TaO%F9*RmWKiP1 z7Izff=5%E7d;(I$V>CWX1UqboQD)V6aujr-x?zBqSOpBIlw>augmCquJPCRLZ1Zcc<# zCudcRVfgs@nRMFOXbN&!4az&ZMtU5u%#( zXUXBXXaINb23#i67Y__9Y3sjt=%qGMbi~vI1*FqqNiI1IYkr5q@`hOEa9sbA&V&;Y zs*^K$r9{vDSLw-=DX6$S!!IS)zbc4?BxM+tpwzpZiC#$4T?rqM)3Ife!fD+2H{@A{ z+Z1TO&h#uw>RASrn+vB-m|R@iw(BTu+T|@Nv-viKr70&$CLiXC(`vF8?dlr=DSiIo z^CMh36HdfBn3FSkbqidwn*3f0DlWtcMB)0E+|n?`+?zLvi0%~#gE^y>f(I%I+KIB} zqgft?iNplP=KUL%E~nVUfz7n_-tcs)XnJ6~un?JE*p?8x*rraDwQmC@`nZYmUD1(E z77K*%Sb$*ry6mN5PXOt(mI)hWa)=6JGyKKsC(%K^9^Th+Ic>7B`w8o#8+*P3_3)P$ z1bi@BeFtpoAcb_yim~WQeoYk3;KU+aDnB-}%l)*;aHUCY%V|=@23* zaMCPt1@Z3;_FFlsBc)>UH`d-qD!lv(F~Hq9gM3_En1z;^7lqOtwWL87QawHw1$pDH zT7z=$VsQ zN%VlCE}aSMHQ8g{fx1}fS8{Itm-v)~xl=hmC`|*1 z&#|Ddg$jvzh!jYFl(C{S!oZ$>*Atz5mN+`7Gf9=KGl$M!eFxVvLHcthHngNZQ*|c- zcf$Bn5n+cJ`QVf(#a-Zx(C3TucwG zNf#*jEFWw|M`FVK!n2J*u&@vrqDcDb9Y53R`JAtAmzO8#mnEolL5qz+uTPL6iqIZ! z+e5#rAI$K~#>4?RsGA4sNGhV}N__l|-)DPP zwvz7tvLOqhd& zo!Er=^gc-mXCQ-9DvW6GCmy8=ce`e-|I%>*UOF2+c)9TaR(KGryAZ(#^dlzKpw8qT zA}&n=`J`C^m;05lel>jrz1-DK*(S2mnJ@<#Yy=%*?2WO@W0#3kRJ+^ z3b{`LZ2Z)($-(E{PzJ@264v?ac2{zg``Ynvj?R!8@NW(D!F{UEm~5G7QHKw@E1zV% z?&wTXxBjY%c@TN=X&4;H#Qa8L>+a;mn{Nc}gZZW4aznTXP0&u1$cLJg1Q=X=w(4qL zly0!3Bw;Pm=|r_P`iDBTmiNeJ_8P}uYk6l6zVDfjxTEmbcHYud(2|1whyUa-EMr7$M**tG>X1fr7lzB(uix&B*Une=ba1A$2{*zb zRe{L3e8ZA`BB_1@W%!jLc)dJ0a!0{M`QAS9{=)K|g!wuHr?5Y}b0)0Iv{>STVh17q z_wZSMG#9u#XYZH)8PAS@Jmg*C@-!G&-%T->FsUN$blzv2(c7C%S4fBH73kH6p#*MV zbP7>Yam`Yr_4bWvi8`~qjj~O`Bqp2)+?_Kxkp+*VhEUUg?fhJ6^u_ZpuuK;e63uoN zcg}z&rz4gF@fPE+!gvAkE zV$BApBw&7{TWS)`MgbqpFD0A^+6~3>FPvavf;dZNbjC+7JCRE@YErmV8KjdlNnJnI ziQMg1lM32#5+<>fW7{Jpa3^PS{16B7IPcnk^8jNKCNW_iyQ&a%KkNVnvj;)D3o%aB zF|okl4tscUAe|P~6<^5^Q@^8Q@@2!slE=Ie18MP%U64u-y&e9nhazqLjj(hr;dgA) z7u=9r+3m~+A7L$m3)~cK_jUI3AAVa03qFm}-+uaeARa?Njz0fC$6uh5O1WA_@^7vwa2fNck<~McxD(o=gP!c!+kT&h}>tShBceeU5DoJ!n;mO&9puieh;fL}~ zf@}^r157w|=S&=S*S%h-S)>0za<=?)0-$QY5TDQi8Tpw!^Q);MUUeq^%!;-0?F*bpiX^@3vg zAJb$U&9ai2yTGChXT$RMqL*e*#)Xi?-R&Knr8cM~Bc75@X(!P#Q+ra7j<;vU8Q8-C zt!a*43n(mUe8;w(Q$BntpaTt}#^G0D-~`g>#+huHXc0VH_HEt)jv>k{?o-(s4>*Ih zo?vN|*tJam5=g$-2u}HsAwp>2%N!#A4eoRJ4GT$UBtP`X0>nTrEY#7lWs*9c;XLg; zd?^JPDEX{2!f#N!GjJkscP(3Ydp~LN^(G3^!5R9JSxkz$Le!n}zNGMB)zeLmEwf@k ziH-1XA&Nafr+EIYbDyvf=`8iH7qLZdLTqPjvIK1--Z7Q^^G! z1sog;3n7NH%9URs1=87>nL4JtQbzq=UM2r8i~tS~<8n&AY?#OgNbBut(EsqC92(`L zX4=!acwsKcU@emrJYfcIS93+l#XNzD2h}*Wti6%^xU~Z?M3BKkloULN1wxEltNwp5 z@yHpc5ak0|Dz}Qm5JCEc$n!6gFEQ~P7r&TolXW)o0q@rWCm`XPYNrr^;`5Irabu#u zov^Nkv+V0qqBD@N-?*d1?TkpPj(cZVNO!|o>ejdME&`A~X|&}-QgDMNZ0SH0jz3~j z;EM&nzcVbPrx9Y3au7oV87xGdg8ajSvmwTJz)Td-)_vM{mzK9PK3un)hIS~2w==?R zjgGfxSuyBN0(aWd9~$|9J7ItVS$JYafBi$=p4Gy@jc~_z+tIqikBXzGow~&%-+8|f_9=j zhOgu0kE%+mgWEjoCn??!BAJLjrq?5GN!55@f^7HH8E~1{Uky4 z;@;^VgPjsu_HH4HJU|vttRON(?0>e=TgE7o?t}T+UnB+l34{o{8AzursuIh*dk&fl z+zFEuSVQvPjS`_PQP}_zEJo=JFz6owcNZcIQs4uIIJ+;O+q0xIz@TLUcjrtvfnnJ0 z%+Fq?&p(m?17`wv=S(;eozgu8zJOL;#K;b|@CQx+dpBn={6dcxy~`G&_4X{8e86B! z3*3gWOv*W9N@GAxJ$Q-o@%_afxHsFuoorYm~ zImd}Cvy|JPP4^W3-&|!HnW8#6vtm6y`@z%x?0)5Wty}LvfirLlv~P%P{1LN1=}eE! zlc8#AFN@I+=8yVyZx!#`y!Sl|n^wi^TzsARq0}T{E-sI8g(V5=4*BEUJ4^cVZbk1W zPw#nhWUGXs&Mm?FAM_@l35d*xFc&R!7h>Zf`MuvoZ1bFWncrJr_BQ`n6t`zdXMp{e zZ@EX{?wp-kUKpuidkcG8r nSOhg^fk4c%AE<^oioudrgr1B6V=q%Id7DT@V0$6 z)w8}!4)6PU7V{AG`G-&PCAKzhmjal;-8mCZK-R0gJ77N8`R}k0=}efzHtTijmw*^h z6OERo>oAa9`eM4L!`|U!)(4Xu=SY&e@kLheER~tB8(PBKCh7~9m~eu45NEPs{aXHr zgO$jp$Rg(7eq53rL<~0|VK^3;zx_qFOymP(t}S<+8m5}kcz<WQT&k^ws z*oSM=kXhGby-8`J-hl!i;1pBbIw#+^WdgURF;G6ZlL&I6Wqsz% zk1rU1!~|rRk;af(W$`!-vf0j;jy+#ekiCK(Uwd#Pu-(ilL_x9q3nv11gRyIXr_{uK z`I8@NdGAj5xN?@1BtjFk zSpSk3JdR@D@3%Z4gUyB|C7cM_DT_Ic5Fcu5l7}Z#A9kpwEb6z?aXU*0981x{ zumB|`de`Iff`Hc?Ez)dk4 zTa525+V`*66)dp}(<$S}Z*~kSmC+PxUG6 z9f8cyb)%y*Nx^eyYPY%K0lD)J=Mck8cVg>K1a6Aambb8wCPs+3G|55)nK*WeDjpWA;$-zegCO;;d z9Gyw($|ntI&g9oUAOkh5f@qnSnZW0(lLB>?s;pj|KA4MdiIZj>jfDDv3k+%GD1QkvM zZi>-o1TAQUm|8oIA%Yxw$~i+pq;g1X+9I zE?X9DBdEk0b=ga9b~)q$8Ei{SN;na;Q;4w;BHM%6U!~Mb$?COpJ#i+0R|E< zrxO943<@SqK!FTKbv|U2~WBn{VrPhn2&E_en zO(AKsd!jdgOVSqfc!#722^p%Lr)jgRD*HH={r3`&%KzK&uVXT#BRGabt3U>$MW@zf$4RHVC?zJ$K|(TodZORsBpm7BV4S+nN8Fj88Ikb|N*-Rt!C2t#oCzl& zgM}y$cuDG$`t*hNuP2GgcffQCj+4Qr8`7CL7@H|PgJ}LqDY}&Pu-Wsse?1Qu=cgCK zo;fDpNqV8fK+bID)}pGgulWCY*o_ z7NR`#n3=Hw=66~QgD!kh6!4R2>lQL$%(cV zgO&+gV!qU;#>jm-{7-Tr-yD){|80~`2bWLf6@pm}3{Xe-n^?MvM?*`OYIrlY5I%IysZn-p1eH7Cy6e6-xgU_-7Lki3ukH_m6Q( zE@Q5%(ixv753<6-ILBRDQpImKQ^}RD$`XOQbH*^zrfp6F5@;u?mXXE&DO!?GS|^fq zdE24nl%9Y4F;HUdM|C0vzn@1yLO*fmOgIsAKnkuPtZ9w$(L~ZNCySBEfS82>C(u7Y z4*z_NON#qYC%VEW86jpJR*F=~IDm{f))}Q!h?1Hxqc}P58AL$dZZb)Ri2ctt=KEs8 zsXJ#Pv|l&(B2SC-lFp#l+I*R=AO!P6Hz{$0$q>t3?@!>ALeYuSP`V3I_$sIBxI0WZ z`EbcJoc+0@gtfRT%}n`RK{&zK(pUGDA@K6EbNQ>Fd=f%ooWehQ8YNZzZVt2-bPx)T zfA9z9_s%pW0yD=L*cpV@<9vb$v>!i@+z zW6~WZ8m80E4|uO_bjG;ZEy;z-AL5Y$q?0pAHJaQEDM9;EZ2S@PIEghY&=k`ra9_+H zRk~wCqy+6m4G;}elZLfyNOJbCLl7TU$FXGwmh@hUhNS$=N(AVo8!Ne_gthRQaMa-n z!hs^jq$x@jGP!R}0&@A5+K$d7^{jqXk~wb;@+_JN)Fz?tUm7PI3$$7 zljUFy%r@pT&=^0|5MK=N-n9!62h2n*S$Dzn`m-KH9sdVPcN83!E~;ILDscB090LXJ zj)Df=7+C@-piPwT5~4L9kOzUAFrVbh30dW5>paKKbtNDxY;``BF-a~V3iERfws=mb zp5@rBq%&c?qtUK+phSpGChSl>+v~M*>5Q49_0_a{-+{v4Ju9Z+H2)JOtzFo*geY4! z`pdcm%mr@6Apb@mHr)ax=J!?pD{r0WGi&?)g1&J6k@S1xs_0BOb>~bt5wtsM&P+;H z|NJG%Iw1{{>X){J1Zo*K|B_sP@xHs3;rvfni@f-R2;+~KfXL)ScWU!;0SV8#&jYdg zV16lKEn4V?V)+++S2%UTJ~rkAFx*5J=})$=dWg~;C9J#ld*K<-tGn~#@TD^_zgwy^ zF))V{uaXiWg8c0Ec)wXR*1xP6m{;3#!xNX+^jpuL= z*#tyl!U>FV(7gg+At5=!LIxWlUL9OkDfr>G9F|96uuEj+V8y7a2K6HSDXL!k zE<3&V>>0gx_>JWz+rXHxF0}9YJ5WVuPo>}soPyNv!RGn5JCkpX>bc=CzM?A4ire(Y zUvC{ll$3a}m7vWxd|Q37^)I=YqIPSdENU4}K}N64Zh82W6*E(XUJfL`UT98F4IPQn zyh;?9(ydi`Bjy0vQ8dD(Ghsa_Wnb!^Dq5y5J=Ayw0U4r1nBMro@*g-x;lvm7rR3>r zc&UAxYDWpk`g<-~uC4a*CD*^QC;OI0PwzoM*3UG;5rbzx@IQFV_BX$GAy+%^qUB$9 zcI+R%)SxM`J=S=xJ|^)J>3dbLufUu!a zV*UP?L78@78Hw(j5v4n4uLfsQ4ln!)oq94jnB#o7@}}t;Qg&Zfluphh)qY2~QohU@B4|I9zW!xN{;AsIFh&up zAB@i#8CBf~@uLojv;upEJjmvMr4|PmHfix4tR$sY89tg$_%$bWgY`6=rS6Y;Q^cf9e_N(%Je6Cy)}f|15%k zmPY9eoaCrB8l6F`{xMF;Ej=^Obfw_kp9#oZSs%NnR5Tx&ce;`)n=@9%NdB86S7?XR z!$|4sqZE)%A(k_!5z{ZzKBoqgEn`P3AUUPy-`?}3vyR`qR;HDGPGOZ>`m{M8tWsD-W79FoEsWzSqj4?_&+g|7j1!uykQ;4!*JbUUe zB3kH#NoS%(kPpX}SsEr91eB#=kbisfWj=)Y)!202Hbc)l=0h=(U#IK|<;}0N2*{T0 zRytCuFLtQ;31#HkS&o=Yqv#h9;>Fi)`-jNhRh40jw%&GhCiAiF)Dc=}`eY*dvb#<8 z%bj27Ic*vd{lrYM{fovHZ)eEN?L&WZ8#_W{rAG3EZM`yyR6U7<^$3OTRbZ{NE zOtjDm^9>O~g_AW-lngQU(}lGB(YXYq+Ge?P2l2&33!R)nr{;gdwk=ckt~fwI_E)Vh z%VJqHt$(o{#urQ4wG7{k;^a&o&BQUVA3FxZ`&68$JQ$)1Q66vimfY>tk5u04rEQK6 zb%6mTtoKES2BqXZ>G(pFLwoRrDD1^3)+cD#yOqS?$p|-huH+cQoqlAEH#l{~o`;(Y32YIGMML$>|3arH|ESy3#o)z6OXCE|mpmc>L z!Hs!92|6&PoqWpflmR<Q0Ksa@#ROqnq2j=jMQFj<;*j)zep73|O=uVxT2}*3yhqdnW2Sqz!kw&MD z9rKe?e%2Za(*8J9dln@%bLI+V(ULhx0d3u6sx|o%nL8ZS!U&<=DGW zu&D&?6CzA=#5f~QbHnrIYJ9v6pF0Q7pEIBAvu|lh#b&>u+|9fiDWKg@E!dmN7>2j> z>bMhT9e3f0G-CXTTxQ)HiaIK`mQTyPu76>UVu$X*d4ck<>bm~h6!<>n_DBQtrT zljD{-u&5gOs6k^KQSq!~NXWJR+R2iU8MQ(A^Op0Z);uL5%faW8+V}Y)-%F+gTH>I{ ztkKD^4sV@aOPY-H~6bXaMjoQp`Y!B4dBb4BJw>p5eRD_XkT zk)=(+2&2eCky*o|kXzAr7CBz6mUg|H&Uw;lk-5!Yk_nTTtc*&FRE42Ct=>FQc1oC_ zulJDJwD$)RQM0DWS9&`oOwbn}EDmHiZKE>SDPe*XAKx4NdX-%N{;|x5mL_-P2c}#I z1B@><#&^2UbDO73+R^NmTnH29DOa+#F@#!t%)3=m(MspFWaM%V z9#4l&|4KX2mG_EjTE-fdZY>NjK0beeqAgt)7)-g@sZ;pFzy`6AhO3n$W=hOMv;n;= zNr#%j1gPi~NPU^qnq1D)2+t?$yYrZC+U^qi?mTCA@)TM^Sxd+|f_q{Fzp-&ghJTfq zw-t%Dzhq<{zKP08A&>2H z-n!d|ns)nTr6bdYqCpZiGt7(3o)U8`Ojt5Doa0~mv5MBQY-ABrkLJdl&(42cUi%=U z_fGX{baF|BA5lX>#&oL^a(IE3STr&nwpbf;v!nt)0761amr5S8x4Ty>mM5}+sb0Mj zOk6m>53k9zqU8CUhFbYTV$?{X?JQ#1XusebrLt4P1Sx(+_2gnno))B&_ED_x6{a1FwL~aPuv>+quZa&clLy_J2O8l$ z;o8-rraIDva(jM|;7hN_ z*+&Rrf^9B})Mq(*TK9HOb~`0ZaEvI1l($cU%~uZls3%O&R}rMX7(C79E5`0in4qs% zq@wnWvH8krr-TXkifD{fk=tp!YgSGt+OnKths$LjBXk?wc_QKwTaq)I8EsDu(Q)!bA4)d?Dk2y9 zipzbT+#WF1oWlb4hUqq1u}bWi_}8$1$kWVIOu-ejyAdWBTpe^XZr-2t^o8-J^cAvu z5hg%+a%h`sL>A}cSCwNKQfT>Wn#X!=Sxq)Es*P1oX}g);iFu%%{7`JqBKE3<33k+) zNTs-b+D64{w+C2zfo_6Sb)+(tenHuh!Q`u`y~7C;pi=S`@%+;=E84YMk@>Mbo?>#G4Tv) zHFc~NnpZq?3hi`ubFvL1z#?j4B36ICj1Jh%F7}ptY$>}p-G=Y6H4rP5dAA)aZO3#Q zj`4M~3jO}DV`c1^Zo{!E=&iwiMX?20){f~m9OG{_Z<{Hf9V=(YbQ_LUKyQ6Ms%yiv zCM_*!M!x3;IEof0Ov zt@-KD2H&l-JF8^JbQ|uBpH(h*@RrS4WjiHIz?rAD_M)ZO<;P@-QncJP#XS3DtH?4D zxv>fVjXdi4W?O=}<5jiq?z#;RW&~m%J^#^@;c9==s8!9rNredxj&Vq(Em6^1a|W9T zp6n`)OVzcQnwC*Qx51q^qBLRy(>L>e9{<3M)vz~Rw?Qm?8e#`#edz5HK}}<1O}jH; zf-&;*$E)}LX5Tus?9Ox>o{;?LtWS?p-ZgzvnVi+OI};{2jPnJ#ua1@UZc5B-qUxBE zAEUB4u5N))BXspg&Bk7Dj?^Zot_i~5;=%+dt$!6Z0(xrIA&q|4t~b|5K&?|x^S&hX zFl!Glgl;kwYDEq;X>8iW_3e2OCKy}{QireBA-@%gHwD+g9-J^isxVT2ebb4sTNq3~ z@EY2E2@|CHBlSQp-d0~SVFI4DB8Awoq2{SMi?+ASF$=k#L`V$$H^pVr^i^Vg#n}} zd>nfC;a93ee4boJ<>_eK49SEE#1%0HsY@Sqr*+y^^2tLdJF6S=(+~WC8F7zd6uPWB zH61@X+eca52C($$V#3fTo}5nVu`QXm=hO^Zu~~W+!@A(Fc=o^RtK+q6^Tf%4n7NVf z#b!^Q%A0Cu6ivz0DQY%t7T36agXRsqZ`fD8R(|GZXZ%c>z11u&F=twS9+7kn(Td(p zTb7>?fVz^+o%hjubZ(jL~}_m z2AsD5sc+4uy&q23uKt=fDuQpaoekdihOaL`wT^4`2}7FREF1V2^F@k~9Sf-zKSi8; z!K}4c^Ln>;E=&nC@)S0Q&a2FNFt7K~fcmB?Bl_qyhCr1$wfKDOq}b9K{B%!A?c|Ec zba`Lo_QD%MvC@L(O8MEfw6i`W8yb|=JZDAM>MQDuZQn%uKlZ)@tg2&Mn7z+A925{v zR}c{^MUh?v1;h##z(zEQ-561cNi?V^V(em9#xB^qSdWF+5~Ic#TcSpjSP~O^NhLP^ zH8XqmnZ3dL?tSi2Xd}e9h zITgDwlr^<%H2glJV0dCMSDP?h6F(qX)Pm5OjBpfs!eDZll5s&>_1Y!@8D>hhcYBX1 zmFeG{>o0R}8_bQ0zR_-W-zc~MioSDNyNtZlq^Atk{ZJeXfdovYT`=%Pw zXx3~upXS}GUKox`$7sVg%K=`?FBCkA#~=%)fk za2zKF$$C0d5(6EX8?Hq^eaY5Bgy!>Etl)S~5|X8#!{pCbN@7OFxh!h}Ckc_?XC5Q? zF((ORRY$?|4ehD?6O{%TKvO4i5)9u9EiGvwv&Cdi0%G^nLMFi!P68r#S7hi+2~OoC zAX$AEF$+%PBp?KbEoNEMIWb77QA-%F8JrlzxD%I3S?I_P_bO=d9Jbv-Y%zNoD>ze4 zbMbOk@LUO-ZV-xVRv0=`>1U(!C9M95Ikv83S#!87QfhAz%bLq&5$O*V8|t`}Jm@7> zpSyu`X~H}eqTb^`AMxO)>rnANgEzXniZyu{yk#*6!E>uwtt>!ApEC(b*0*bz5f`Fi z>sS_ew|-QmLN1jQc(jgL2&uEm)*I?-n|$q~LpKhx4X;Hg=m4V%<;}WcU)}R_XvYCV zZI|!s#OvOjwnuP`n8IR}=z)1%o9bLkcTq=^*1ZGoXy_n`seIf05>I6bIN(HbyZe;Dmn%WVJRxwtSkU8VQxNm6gAuea^eEhMvvzUEK}(oh@Z|3 z+B%N9p{_|8T`CH?d~L8ER0(=&_fH+@cL#pW zp%-(li&3Ak_yX#))8Ip>2W1#JdG<27tCc%IA{;wSVYLzqr zfRFF@l0m3*_fC}?U}IyEn?MO`R7|nWQ+qq1@p}v@w8^jKTn6;wXczbDTJ5y*PCS)$ zoTk_r1*M4EpsuS*aad7V*>1j!C85 zcCraYYhN2WdXk&Gnvv!+Mtc{U@flMQ&mxiAaYlPLXBa};`Z+Vq9xe+cG9$keENd^9 zMa_z5=vldMr^4ZiLgGH?5+&l#<2Mm2PFG)z*)M;PfuUOc2)0-62$ zUDA$uV9=1x*ELiz1*Mdtg)`cVqwhIpzx5A8UA+XaJpr!ud<!4Lzn_#DuihZ z{Y<`ob0Ibl!h8q|AS{GX2mwJ@1Yz;QKBl_Dsy&lTV_<|l&rgtC?)3D~WmJ1Cq6L!- zwcY7ixz`>KUFrfKl~%$LZbpxroPXY4i|$~sA6=iMD3qdv^dD8zB|om=SUm|xQP~7m z-I#`pc%iQD*o0adH2!0Q)t#R8hxMOYnN^QDq4G;?VTtR{KWq+f)llB0QA!`3o2;}d z8eihBRoTGVKj@SeDlzm2LmhW|`aPk#r`YIGPt^j=?K9SW;s#Y$&Bp`Ek9&!m^Lx`O z&WKP5Ly6j^6o~U{hI7_9jv8I$t)V|%bXYW&M59jz8Ec_hS7FC*)r|3t|$NdDiydrgREhSsLX>YATPlv$ziryC6 zIW$ak`!P<<|0KsBdnV`N=HiTziRk(zgGDNN`D%aB6dlVkJf&VwotZORocBdD*fPTF zDSB&QM@AztcgLG*hF_o=SDC_?VZ$L|;`5e$q_wfa+LX>W`PpK4SeS$T{39M(yohyj zs0swcAu#EBuo0+t?rYr|#lgp~Cc((&alFm90=XgQ}fp z+F;W=PfdQnnqXDBwUv^7SwwR_GD>HmUvZs}30ch&1d}$ONoZvGK9F( zi<)1od?tE({=zMLFL29V(j?=wni-q!NvqySg?pehGW;_&b(&m3Nv+xb#YJ@Eccuer zlAoL!rI~l?nzZ8fAWpYQ3yo&@v8Ik??$_wNFI(o~ao2x+xp+E|?RrEi8V4ouezs2Y z+tx2Q&flQk_ju0w4x0lt{n~zoJVJ~_HhR$bIk49K@IG_pxJCG9e9vhe zq1a)eRQwiwDqi^JF~|E`wbm~#-Vs~8_Z!g~r9A})wCRsMV%9~zqOU5IHU;F(JuF@u zdY|oY#PH6C-jXza{gpUxnU7;zQMkrwjcZyzcEl4=jP^sqLqkn>dP}m}qC?`|0xExyG*!?_lwZDmD^F5~^F>b@PqUlqsw1q@3jDEXno=U?XI6hdo zfNjl}@ft?)8d4&mji!nH9w&0Fud6h4UUp`acoFV{G=~O7ubjSWu}rDp4JBi<|As{( z=*YIN6kElV#LeWU;;ylg9N(Lq%A^mK=JgWmR*#i*rsv|%3MXst_fF=v&2Dk5+2Gpq zIomZ0S5zvc(c){Qz3@XLj`fc!mGxUk?ANv!6+$bO9<2vHnxWl%;~u__YC$Kb8>Q2d zpE&l!*uwwV~3L38GVH6 zXvJU57yiuqLcE?rGs+C!fU>@fq5M+8|IPm!{9g^?gr2odgZH-%spd#1#9)-J)?y`4 z$upo?1AY07(Y(vkBwl*|bMVq$=WBl3Bu+3mmrq6 z>>JOAa$A58(CAmpuVLTl48Cz`1Wd`wVY)@jxHN60>0mzVu%qx0-KfGGD(0ZSvGz^P zC)+O2&BmI96Lv}6Ub#BF@S}xuSsCVzfDzkj3{&_*O2Wnew3v~J{Dv8&>)($n@ST54 zJof7$!WWINYIN3nfKM0$ZuINU3t@nF=<)a%{jP<^brKoO+>({y}M+yqF}uFG6jx10>J)*>Md!DBixMjtI;!og`;l^ zm&X)1A>kgo6jFvfsxjIaJ^1_aPGTGD94A<%IJva%kP#XY7NXc6uS1OT#p4t)wFBbZ z)!*EF2us=UX&QMOmmV)DYJ-ya{rC3b`*7t}t_`9&R!PJmydMFAiO}aM&2O$ z=Ee8Lq$Q)#5hF0BH+g11{#xiZu9u_9zvTF0EBgC(Jue)Dm(-~8iqT0TaUxt?QRM@! zi@!o0%sgYidS_C_YHLQ)bDs7c!lI(X6#Iwu(IYc!BIPOp&{d1kTd0rzv`~zu^E_NM z^S8gHvMRb|H8v0$pei;-2=*bfcqz~yHXzgh8LCSdq9~wo)p?hWURF2Ob8kqhB=Ep6 z?dj_ADkm(!-V;yNj6A%c1vf;2UW}?%K-+67s9L>^bp?Oa)SHQ>NM(V_kQ8h3Jpd4Rx-w|8UHeMZJIy`|$kzuP<(-8x!!iK&wzO zWu-)>R++4OfA1|v6`~_3yozRdv7gGy`eW-B>Tad|j5_!lZB+w_z^w{Kh$tkREheg< zrz@G+@gU>>`&err9^rpC{c27qiaz{zCnF(+o3dHZT&M56KO|`-moru!d zQ|CJ&{o~!dKdXj{Tk}-(wLg0)9QE0Uj&_C>G`#|KsLvoJYY!D`eWw%sF>6%R0M5s0 zp&i@q3%3pQf zeu~u8JyE4|b(PL~kB#lH&Zu^%(c(@^)k$tGwn*kD`Zd%_&iv^eap(7NSphGh=;srU z-fyQF$lnm~Qn3oiyV8ogA`qrnHc}clbx(!)?^`(YdaJZ_DNVA98uuE~5`%uFb@lg} z8s);VCdZph5$80}IM$6}ib0#w5Elz##-i>VYag}JLz~tWkA=Zj9afrtRrZ5VL#5KS zIL4%Evp=rOE3Fu+DGh_~R-v;tn&a%NR{BAY3&N)>no_0F56z)b_2}JJH|Ee&ho^^m zlcPD4{`VqXcR%wFX;~Ada5MB$?8QIp>vq&$&owGPmCi2B7q!v(9fTVkWZ#TlKK?s! zy=p~QhhvSk)_?VRi58Z0Xl0zy;zmoQpIM|$8->z!Yzkgit!1MshqOmbY{g8k(t0JG zR`IIG@xr_A{@;C#SxJ2-OyOoIjaA()YUjnZ;0#<}rKaA!?02nrsTHkSdRO|>^=Gvo zo`u`|@@W?{u(K#6?3ewB83`a{mb4N>iMg>Us9ds2Jz{u8op zbf-7aHzy2GDecd(PG1R1UmM0Nt?*QoMn;#0ngw5u<~Rqaly>>#kG2}^JL72GqPN>Y ztBUMAgj_LEEtvzA4$JI+@I&sJNtnW4#Sw42d+8oc-&b=v_CadJ+Yi5{xv*#2sI<=YzE6)iLYenxao*4rGb#+;`4KfwW}t%b(Pxv$iSn-KwR z#ceCm6czShOJdT6R;P1%hAKQ3CDVWP2FzS0=u$5TD&=L~I|_^I>^ zK|9*8X7RKf=1HM}Tm>uFe3Zt{Gxas*jnN$EP)=>!K3#mW+h`^x$4Po85WV&78)$p_ zRM->=)V4zvev49?bbF~rQ#z0nAY84w&%vXb54sJes?!fZKKkyZ zM!6jX-+s%g18cMY56-;m3cp2Zh;u#@GNNF7k#}<=)v6B-{aHA6&PP%`iKzb9pPI_{ zgQArDO#vQ%yK(zLp^B|AO6jKt1H{#_L7V{5YSnXJOczaG2NTtiXD0NmccxrZX&$5E z9GExlCym3Gg(`em3!=l$FFzILttsPYYq2Wb^*+OH3u3|xIx|cr#eONislivrRQU$cvKZeeCf$l|%E(p#Pj}5SLy2hz>ZKNG1hB-lfz}A<0OTw^w*nX9=@hV<{ z_=N{fS%nXyMEX|wRJdTg%q!bWt?ZdiKM5_iUZu*?@5ojqAJwG3^FXa^bM)#G>tc8t z&fj=Sb5LO3J5=GsD4~{7sp6;3BBy$STJ`T-hl}CGI;whWqWa~nOH`^Sa;oDYD`5L- zUUS8M6{WGt`nh1t-@|L3q}JSh-!H-h@BKt`G^;PPuxG|~l_}nWTVImC-OId%Xiipu z849mPzu)_yNh@)|>c>3$WR>P{wK+@FHvi2D<^KiKp|(WztD~=J4z`)XZCteEc$2Fq zxi>X%HwLBkGMc4A8H0b&81{>f#g_oz*eKQ?E{)e|wE3N#rQ^bOgqKr|_cU`R-{*L> z=6GQ}{ReoB)HwM)mM-EbTo|P>sLeRd-hGd`nE`xpqhPI1{&=;fLFeDl@V?NtQ)JHP zPCnx}w^ea&h&d8ICQtm(J*Lvk`KegAM3 z7{_IO!7*-M3FFPWuO!9_$JL(5{^H42P5SB&IK~|+Fm8NFbF|qe! zb#=BcC#>B&5!R8_qIE&fpEzcoVupGbL+|@toNnpD-;pp3`VlR5>wJ)|-{*TcW}Q{c zJU($x*In$rU%*cfxQ0f;qbuO%(+E@BQ&(Nj{_r{ryIn7I;k*`^4E<)-;UCi@H%ro# z!y={evKd?y{$mK&ow}lu_xZ-&K`9p&Gk z-y_5dZ}OtxTg@Nl0Ie=)P7b5>mYP=XC}W7w6>S~GFy2;m8MJsb(CCWxjAj_U)HI66 z7(-Cv1Q=5etT~~%_Sq6gV-$L;$%VXS)S_d#Mw`$LUCm`Q`>1JtJ{IKaj{X|UF#2+Y z$hp{0<6!f&J1QT?FyPA^rJe5Q0gX&lb3DW7&(Xl{0J-EFy{lysOP+l)QYcwfjUUAZ zs0n&bFow9lLC_DpJfRJmx|Gv&po+N0xBLtW`Pf)pcmuWjn2GR?nr7rgpxJ}a+;ga& z?sW1-2TgGh-<7cw@h%9^#r?2_ZpWr5W+6S$ut|)|yQ=1ldQ3Lfa_>pFRD+K*9*%XK zREdKHbi|qMm|`XY@O8#WFZqZpRN*EmkwMWr#E0Es9m*!vVwQkzR$)}g(2%(Lr1;ja zztO|FHwo`oC$f~hhw!{{^ABh|NWoj-Cn=FL`K`sigKhgRUd_TgLNg^+WYx39kA zgEpF(ryYlnZo{MpX`GT{Yp8aq1FxsI)bOWR3LC#gw3zydAZ|_J*6HZS70lD)<(P9o z4bkT|{RE;M^pJMOIB)76JEbZnbd*Bdwwttde!IyT z_=5_JYwtd)oj3C~t=!&(@!|SEY6to{7z-bAevh#3G~>E9?w}WAt=L$jG*!UOyucTtor6f+4dy4i6-1WTpXcL*foA)vUrx|no!edzjj<>>DQ6m1MJjA{(N4Q}{%&)-Q>2?G0!m3YE zelawwcL?*m``a{0UwS%P^aSOunkmpDeKW7O!cS2e)hC@4%HH{m=lyX7-cO!f7Y2zZ zDepmq_qhpSs>RYomF59!YsHhr5;1!FmI}owk-d8cYcB1&i8pU3EjH$a-! z9UmaH+UV>4E@7RzH(aA!ElpPGO<3dAlEhk}HziVU+91v6<`*36DX7s}#v0Gj^ufzC zQQON!w0o_ww)zdZAhcx?PUbZ))T&pnH9wjB6U7%-y1F{Kr!^W#1)=3hSLJoynEvU+H* zP?{3y7<5}W{?5COMlQ@i12-yIH;S$<<_sEw9&QAshY;3zss5t#?G%U71uCWW9y{uZ zSwF)?-_1rde7K4~*I8oyOPHG5o>y}wTC!Ea>sWdXvCNu=s%(J+$DyQ{k8ztApFO~# zrZ7vz%zuJux45OsyVSxh6HKw4!yWzv~;e_ww8tufwRku-k8+Kc^P{lVeFRzs-e`uN{PoXYUUT9f;V<~w+I2QfWn2imv~^!$MEx_ZuEoH2VHXJ1&V%i{q#H^g_p12$35 zlpLm5F-kNF{luCl-f^@kx24S3PizC##%`Y7Sv-4UFgkqz6#kG1vBY#&oY7+mC&V&N z2;yMygT&8&UyiOHgh8K{%E~GifB1~MVNk*>J&9b z#i&TlDEq=h%n11%0Zz2kcO4HZISIum=`^oXwTo~5B?2$e2pbsifvDjy^p%eY%dYP4 zs93Jzh8c2nG$NaDOgaV}X`y>vcZnU_jB->S`so{mZbujWDGF_K>C*=}gwf$nkA%X_ zbsYY6jY_qEPJ8Z=U$i8{S+epvBBe27QdhC(vZD@n;I@`Enw0VIZNB27o4)3}8Dh2N zpP?bgVSE`ygg}L5%JD^5$88i4ZNVkMFSDWi&p}&SXnq|RO{+2&2UlUeszbUA502B6 zmBFQ%nR{UXr|&d9IkjI9_VjQlyn$nd+h+j&^)oMfKdF}}534ARE)TR~;2$4xtT(Ef ztINR!EyeHu7%iZ{3&y%t#}GC3ztz`pmx(s180&ri2-d)DB6Ro*P?8ooyt%1nWxs4k zl?t2HjcD)wk(!MD@AHiaWq%F*!zR|#W}SA!dz9QaR>BsJEuNk_Ya45?4e%^H3rxon zIX!-j((b6m&y2RJnL0atpzZH_pJxis&on;A*7w^~Opw=k*rXYUqRumn?JA3*Iv0#V z@W@Ta3k;*gK|?1vJ86VM(Hx?C1>?IviWJSh@HAyt(Oegv!yE;F{BTA?S0|@Hc%LK) zLL&%`Ap}Ec0wETCg0TbaH$}5eaLI$DdD`^QMK{3rJ^31 z(;eDs@Y6nK?iTh=hpdQr+Qt1ead%SbXGO`%@75@#hi`tQDNkv{?I7<`@pbuZ9a>g7frchY$Ux{GiBa$ z&B0mj>Nc{MQwMLj_%G0Eg94<_@RCCnM?4dVTtj@SYv0S|&K^+keTDBy?%h*cckHjk z_rQxne|^Wq+s93H47ZFn^vn0K_cjrgf6p-Xs};EagRv3J)~jEaFi>I*OBM8PmQi{( z`{{LKu=^w;*We$gYQ9Te#C4#9=+V!-7xtW0|CDBS%cY_Tys$AW>^=0f6x!-!6myda zP^uI$7%~%YvCL1@(lz?g*vM@v;XdE1zxGBwZeDkYqeQ07W!{6eX@|GcxmL1bRZ2;G z5j#z@rsE|}fx~JA9*sGr>E7vEr2_8Lhy-2(+G}Sdwo+H{5w#rnX9J&KZA(83OIA#5 zDWSLC>aD&0B8Y3RM^%cuL=>&o&U+awwb$u{e~)*K+S(&4G_YeFf4tslIJmlYj;BFl zuUNTII`8*rsI8a%8)t@3RqXZcmJilm&i)hay$@5O8HD?phdp&;etXGnSboM&c1aD` z5BApGpD9Q+pqTAaBC&=Jy5H}Wa^C*9%6I9D_7~{Z-#?5lKZKj2;{zIum;V`7_{i8+ zHw&6pyZL_F>h8+t<==l~6a{B1xS=ayX!K)aM;-pqxIMrWq~hYa>#AiC*k znw3(P{o}_;kanf_yA$!>e+hQI2qmO11BsXr}< zq5bz?fn6)mYyWT3bNvP+Z0$w{vO%c#Tb5bvot#3BT$zr2z5j_0Q}EySj#BtW+cgB< z*I_5gzazmV61)%N^Az7@6pQv9Ath?JyhJJPr|^x(9#aVi^GZrl1s7p_*VPUy!krh5 zX_i;1(0c(cmwCQjev<2_e13iv)2ya1=AE05^RILrl#l<)aDFs}U)*uz^Ym+B`m<}a z966~{^0g3NyOSsOQ8@b>#EZeNdZBANE^WA*W(Ru= zCd@-t4kfadG5B;mg@0V)$ah_hhRwDh_{oQ|g-eNPu}M&;TBc=9a`xpCKFkO*%)~6% z>c|H|Z);8SP;C#BOV#GvNUeZ?sE5|Hyir;2*@U(%5`&pY5l7^FG{wiXtWi#tRfGg9 zA;VIMobNBl`RIC0(=ykxpN~wFtb&65Ov^oUW?v+2f|&^=&9@W=gQ7cj6GTQ@hM6#v zZp-=Tu&-%ZNKTIvgc2(u!%UdqGDkkt7fPRFnTyUOd&>lL957hm$RZ@lHzHOUZDUcX!O+7=0@lt@ARii1pq> z5x%WQYO9pNTf0(t$1+DguVOu-V&fYzKj&f-#Z+BEyxx-s}*-!${@uD!vN5cae z%tmA(Ty(;%MqxeE@6I8x$_@S#J*#I*sj?3U;e)zPu+p_vV@q867rkHKGz;Z7F`d%J zL_~(5^9!vuRP96SYT*8&xDlCKl)QIz92Q1~-)xXa;K9B$Ukzh?w=RXjCJQ>%)V$9A zs<}xcpx$RpbeUT5tq z`bLID2FHd(#twaVNN$HtEnBq@4r>|wMzhH9h{)im@DP;#z&r?@>t>2;m-arLt&KQ9 zM&{Bsk(I;!5@|le$FQ54b2ZW3Ey*Fx6#LPh=G6jv($Bo8W@JoE2*?y3sgfxo0y)KB`r)W$3knG{k3+-OTgLjvhDQX4#D>MFY#WK&K~z{2%0FffLhp4kk4%}G z{b~a4Dw)lT$;4`EyUCTqo(7uF@Gyw$dovDz+Ku+)bK-r@Rji~?AFpj-^m{OooS?i{1%zI)_P5Ij(BZzo)ST%mz%R1 zX3d>7XADde?HF9t;}U_d*gBJZHB9i`Yz`hn?T-VoZPWIeYNLc@mR#@12;4a% zD|F6K=$zmXyTd=`jwwY;UXq^o-m(+K>8GKzO5wz`9FouQ3Ct@w3@gbCP%APbEI1-2 zBuv$UBO<~eJpw(gZthHENZ2>IobbF;r#bmM=;Mq^;eD4Lk)Fly3G8M=*k6C>;_aDP7Xr)G?uG$`CTRBv$#ASh;qaeq_W{!|!!XqDo8n1a@nUp0qPr z>~RxJQKB|HGCUIb4K|rY@I={nEo-~Obkca1kjpGONE(g|2Tr5#_`5V;4P$)XQ&jz@ zJVjLWYdi(mLggvkkCN7uX}mxLnfgoH%Hf}qXg>XX??p5=SV**$+MDfl2c1V`eOySXDZES_!#CZ&>~e}IP^Z~BNh#K1C-`YCVn}Z zF@#C)yrx7v8Lr|&;o%Euz8c2(ZmrPaYZggloEt{Olwoft3fI^`^VKlM z=WS!awrL?^w*jWHIymHXDI&r$4l*oJ%sF;|=Br`Cfg8>}>2R)zfbkqxuO1P}bL46?j9NpC3{_TWb9Xv7k}L4zfy4<`fLVnyd}lV`FFW`v%~!+Nc>L5dM3OvO-4vH5(k(yM^b8+V4l~IaK9$_zR73HI z#C0cHneG0(M-0K_&tWjDy#6}E?uHsx%O8y8PZC9SGfeJG_ZL|++&`&uSQ5XC;ZyO^ z%9r58NHfF(4(UV8@+)3a$)sjj#$aY?x9Wrwle~<)bO1bN){M?JqxoYGNd98MuYKC!_P(% z?{LI5u+-yC^9Q6Lk+XalIojO7QYNl#mmqHaMQ31D;Z?9Xd+Ufx2~jH zWe(Uvn8@(8A1It9ZD6QjjPI6!njD1vs31REvHgcw>m0#1Dkds8Ix0rJYZDP35)m8~ z5sM5jtesKqmZlB~y%*96MCLS+&U++y!*^9l2MkH~C*zMACit*9)hioDG}sAiCA!4K zga=3BnfcuQW~;z$6~ynmbBYXVS6ZwkO!kdSs2nz1XgSbM63|ZFg*l$?@U8i?c9U}I*o*7gf2A`iu;gforuZA(cTcX3Uk3^vn=FO<6nY9`G zs-8Ix_M)TD$#&=6Z zMLnQK<08!P8x@s_5cAK7aJWn<0)rXL@9jzv7)(USK)ugd4&nuhyP(Cd;4jo#YFC{O zd#^Jf6jWUpZ1JixAEFB&EL@mwsq3=>Vk;pOK`4f>3c_j#Yapy$IM?DUY+bm+Qdii! z@Ec1f=Pw}jbX626SZWCuQ9HrXQ1~7V7c5g;oZ#{$=7{n?HO;RT9UB!K78Vjwxw+|j zzIL9uecX*vOG#%rH?A%*@r^2Vh-0Y$Z`noj8UB`C=x4j>K&6(Bjd-1v z@Djo)Ek1!(E`$BoP&hrC=Br`A-@DD!QPf67!djyCKC4*^?K~2l@wBamy|uEM^~n)R zW@1A^r)=G?^o&LgUvQ)O3?IX8Z=oltu%PJP-Rh=6N53+q3T-486crYZT@aqumV9km z*Sy20S9C)^7zoY3M#U6&tIfeN!%VnRfZdS5vrx*?$LZTjPb$3*B?`JM2mQ$ zBOhddFq=$d(byOvsdrov26zW{ zkm-H`a=!}=kP-eNkTkd}YM2ooR7M$7!szk+Im*%IH=m9#BJrfhPv z%3+CY8N-*z7WW0R-UYakM5E&0EjAG*0kHY8E~WIlYNQD;%B42{%3+DJj87>$My}@6 z5}H_O)JMxqYw8A%3+B?DZ?iWIG19hy+{*HJqkTpV*(9YO9#BfS{bJ=XlbGSFC61NGgqW}}bn`N_blK0Ac34dgTv*SSVM)ePYaz{7 z!=&ePYr!7)EOC^GIu=_upf^0ssRBtEEh1l|`Bw_~X>g7!YHl?r3ia%HR&y888+KqT zJA8}?fg$oyb@SThpFA6bkD$&S+Dhh=C0jZY_o{~HNJq^j5hw2R6qnfV($V7h^~&LEyJAEItII-cxRM8d5DM{~<0^+e>G8OXk752`b_RljS#FwahiS5(;2TSJlEb4^ zbGC4NP7(#%y=47C4uVQOdlJr>*Bg;-%Fe#R zBSKOBLUSfPc6u@50jbR^BW4hdUo_rWDO@u9PogoyC$L-V!dftbjHzaWLmPOnQ{Oe1&Ph>kA#8etN_yH zVq%97LDX`*N2^|g{!W1rYLNZ=pRKLooFod(>;O#(M#tuN z$qABw`XREp>ojUHS=9LtphtlfV3bMo89s*HQqkNbsNY|Hw$>Kx=k8l;3Yf*C2UeK{ z%ob!8GfZULN@k&kH(pS(0N8FA0`1c~8f+ID6;|OyIu;a3>SA8k?nNiE(d(2rnUa|7 zQ#pJgi{>+Y4D(}53LayUUdu2tL}J*S5y)_&Ix&W7_@~=Sh8T8BvTy#|+D`O=Bj@M{ z^#Nr>OjvYqWN0+r3n)&xk+XzM4igXmMYaQO?Ald1+}KDM$@m!Nd&pEfYyriIwW1?J zUbJ(xvAz*QNz?NzcLdOkVd?|oq@;WU1djcly+cHdJu{97o^XZRQf6|Y%r+u-I= zU${!)+21_Mp4`V=ML=H^m|g9sdzu%MOG!)Y=iV{92zKXQ<~1|{J$AEwf-Yvkys4$# zW2ZI6vBfAxM4_Z>u(*)-6Y~V+{^B3u%Kb$(jPc#V9et#}eQ>sUtxzv2DWp|sNO*GN zQ)#T5`jvB zZTNak>41)OX*HJ~56bXb`ej7vCA!IQMGa$oUW&1=l_DC(hW|>6E31`KFqm;|_ZiJs z!)htUR3-)93ti|P86J+s2(NJ4CM*J_PlBs>Ij`oDipUJ3TU0V!YdG1m%Bh)7^VKlm z+lOY7t9YzKctcMFW-)4Jks;BM=RCY6nDb1(+sHU}e0&af85b!LvbM^usF$2Cct%W?9j`Dz&ByID})V%S0-U1)X| z?E@F$F455bUZJ^$MhLP`Uu;eiQAxQ~k0LhM3~1a!vmSP6Aak>0EVjc~+)(fhkB$kB2KTC(4n%~-#s)`5g`*v}Ei2*b z(UHc#l6Az9`*FmxF*wBIIGG%z{dAa&&1#t7yCLu|vGm%h=$K?Tvxknf<-<%1w$X}C znX996jpYplJH18}^4y%5k~@ap4=lN`mF~<XUKOiv6T;B&LAK2>J1D#HE*(Aw z>?4Yoy=X<8cyAS4@Mkr5SaJAr<8#0ZtGm_z!N8fJvcBzz2` zlydW4d(kl4Z2>l}z_!d5Sl}S~brPeK(`GgC32KWp%5@$96Byq>-q!$7!RQtgys+uAS6P-D1(qrWA%k+ zSnF%7b%hqFoyOX^KF%lr`hx)}3&IcxLm>=1K7rl(*~{A5dWm+;8QcZEqz;*N z4ntj}?tAef?!H|mDE2aj+$Ct`Re;d5-7up%c+H%qv9)(}xIl;NfyX7Lnrx`(ck>8* zKh9!rIM23}I>V?i_2?538XglI9uXCRj#js>#3}|l5vO-$*HQAf?3*9RP*(x={hOZJ zFnkQVEk;jW;daL6wYCXx_7RGjY_Q>W)aR8MoXZ0G0a0de+OuuSh;b;ZT~4;e)iC40@GEc_KsmtW;}8_G z!G_%zRpB=Mj))P=Ib|RNmKtUZawL4pV3DJ``rBs|g9`Yh{`_NebzNvoa9Aw5Ip5X= z-T2MiCGJIQ`jL^7jk^CAF-9^{R)Ak^A-0n7Uv06M-8L_UpF$1cjUN}klzwc)B#ocB zl+Gn2<1?5^&hP=Y7v2Se+UPK)wG(q6)6b3=$=sXu=+qpDZ`p`onRT{ZXL7KsgG{a}4NP*pTz$MiAXzmd1Amvmxk(gwIA!J6dZi#2Yiw zxWVC#a@Zr-k{BOOKUccaYA+cL!%&Ftf&iBnK%A~16i(R<0gIU?rD3vrRB4#>UR4^VZbzZbET%a8B^%YWqf@d| zFQ*m&?^mVa?W+S2F!La%NWe;l*g=%n%wn;WLKG8d35LmltW1c1g34noHp3x^VgFn;+6^@c0U%m_Qn73TM%9Z%ee{oP?Vu>3g0gUyzbBz;xIQ7l{mp zueoHb-#19`0f{eh$(Z3~ihV^+jv$JBOR=wV$+%HoquAeZ$+&HPPqBaCl5rEgPO)!r z$+)H7q}aFQ(EnMI(%_+k< z_v9R0<~}i)=>bG(Lr(>RVcR@JvujywrbmhlY@Nq6;|Z4$O6>ELX8fwiz!v(AX8f+m zz#ZoglHu_uM6s-I5XOI@a9@jGhcZYoLTK;Q0UCKIG`%orCAgLT4FRKfB__hZEL#mi zXJ|=LP~9OAoSdFRpg36l7ihb$#S-;WPQ;qOg5U`OGYEsC(eQ5vfXgA!qL`2qOd@?P zbvy-urDDP1mr@(mw$$>}$f;N`t$=#ew$$|0$*H*Q!OxZA)VK9=DmJeRK)Cy1?%|Tj zt3VoV_+6Y@6H8PD*bHzqNQYI&-6RBZFjZHHT4#dkCKUQvybSIVfeb*#Dpo^6U5k&= zgG^&Y``VsYH0{x?fQVa{#-iNDuAK~a>-b=Ac~Gk>Vy8c&B20;V4@rKEpEe5Q4Vg+V|#~j$ym8?2|a>K$J#|w^e8SFYZon{$8hObJve&8)SGh2SUvc4GooHR zmyXqIM$wyd$ymJv2|bZZ$2ukvbX3yNqIJW~KZEq?WP)AOF8Eu@1rv0QmQajli?sr(!MBB?&U*RIFrYG`Ar*$S#nGI}~=1m|a(Nw4uf1-i=F+K!)ZPQ!AXC$t7ck zZ%A-g@GW?Z?!o0_COrYhmcz7L!C>6RULIs=RRyi7VW}ykqT(9RiAG0S`lFVS@a0e% zNw9lGS?Y-tr5{PD)gMThAvh*Jy}&DUgW%*ezzNN1Z3*@q2ytxnWTM79QtBW%6*tOv zozSwDmPUDlA&!+!2Enl5vmoGySm6}~nC;Mb5d0kq0rTwu|F;02L;Xtx;X4e{F~4-u z{j(v4DPp&R4f7rZPY9jCuy|_L3uNyI@NfvucogYM+RpoQO#1*5Xld-iot!?TW7-HV z8512z2Z@g$$_64@X*pzO(`*!EV28;jJ-9|jL!iFD3y6>=&85abDkkBWH|3(IaTb#> zmQorAc|4^gfb$?IDdE)d5R{biDWwUN(#Md`Q|bZmLf(;5JZcEg?Qf1<#dWhCff0 zKVOx<0AMUTo)UM@gNn!iU?Bu7CY?EBwuOomT;)iSg4@O-2z<+8i-X0Gj#Wr=>Q2V! zB@oB$Gl{gjr4Tbh=nT22ZJecA-ZFqN_pX4E0d3pKX*mR3q6?WVuaKY&0<8oHQ)dP# zQo+RlV+Aq&WauBM5MRZm;U?J$;MEW?oz67@5htvHfC~mew+V*O4dQD_H6^W+vNA}& zZ$)HW4{5v{8vw>Kv!-c@#x6trFOSH$^kc>;Fk&f7l zCia#SF}Xf8v9Fwn>!=@1>@O$cY8pTj2g-@Krrx26gQUb1;14c{_*c_lNThY23dxv6 z7P{HoVnas~ELvAbP8d3q0ON!s0n^B)sGf-m)DYy8XsKJJ6WBf$kUk(th7W-e)*dJ0 z;b;U+9?2!+@#rI(oWmt!$2f{6kLHrGVaCwpTrL@#W-Lt}$0cLU@@VpSE*YCApC(V> zlCg3h)8vU#a(n217<&?G2ih4XbIBNc3L2YeY3MN(l5u6WC%DtlE}S@BPQ;iq(A`8! zeUAbuu_fu}Gf{Dp#m8fooQUO}O%vzHiJ1RfnmA8R#5CuVM8g6|#5PU_?%2i)(b*(R z6GI`FjBSjNM+-}R??qfPw((+s{oyaTa(GPNVnQ|BT8wCVOG{PPFw&~=Tk-Id(QV=3 zlNBv2ZiZzX0c`f=s99S}W5WtA8LP39CKqwZSdn7XtEDB#eHE7+ie|U6G?ONBYtgP` z=r!x)e7bU3PlPvY;F7Vb8 zpQ-tIS7UTE&k}%o%&|kkNE*lP22 zhK!}H=OY%!zfABnn-qjs)(Z6HLvuy%Ml&UG|25!$I30L2_&;Kw|EYA~5#IuRljHf~ z!JV^EXbos<|3w@cXAO5(yi!s;`>A)X-cxgX-(AuMor3|WISqy0v-&#Q+jZ0U(71jt z#Q&0z8qc5vn^9I5q|TP^oC#nUc7{dcGg;I>1W;Qta-@;3m6(JgL|Gp z-uf)iXFFBb1vQoWG6P7r6KS_QT<>@7!K&~J=096}ogp6gqyc4`knl{AfsqJh^2%p{ ze&xw+qD+|qgfe;Ovq1m&!E_N9A}U}&CY`OHtIUyU`{38s3iR83&WqRs9W_9eusHS= zK2+%Pq^%-$T$r>o2ACttdvlkZp=Vgc6Xndd%+w!#|2HBtQC5m$kx~0ukn4fYI>f>N z7I;Sl)Z&hBlo?E3G)QZboaWgR7UJKECxIQMI3W!vGxfd?o)WnXzgm2C5NGnPbS{(A zoh2Mns`g9}s7aEUxK-RTbr5Hzw)gas)14(8qGa;|%Pi-bF+>pJE~PlhOqp+)S~$nz z>^!`O!xKN20KfU*-V+L=2wh@U3^0a=OXB4W87p)S6k$Wijv6o`z;MD!oYnPWB}{S; zlf3P}-0S$B`TrOVc*YurE+JoxTQrr9Q2#<)(&c{=4S1IN-?qp92pZ6fYoVFrEUqxW zfhSgH>bGwiBQ7;ep+4J!(a4^+@WFXOcOtw?1QbeLUP#XLCTqC8)Z~$>km5f#iZN5=t z$YjZrt_51(Yu{3`QbZz4UUV(cpWXYCmc~N?#4%ayADx%|rAU{k?$tL5d7-&6$%Sep z#mOk}<#-qcuDjOOrtQ&E?*j32XR9JS0e5~{Ly?Ygh@-zcL7H@H6DHNt5~E5HDklPj znfk}QTWGNaba)0p);_y+yfTNWkM2xllsjEbq?DzIL>Ulf>dW`^ca+C~OgV4q+sYi7 z@}H9#Q5byx(3~^bc zm917QGMGeA5Kl$4*%K#GaioYOPQ98is;?ZqolKF42{C{t41~kNJ<1Gu!VoeY)acah zq83k`NTZPAq~>;evFSuY)+z15EElOcN&smSfCH7+`k^*xsaC2y05y=dCl##d4x<+0l-1Vg7{4zYk=^tJqsIRiqSV&P*bI%MhBS^anj21(pPTs48T~b*8ESu2+OzzUF<4z<>N#Go)KAnV-YYG=IS=vQNgc!6IP$! zK0>?~*os;X16@w{puqFKfl5Guh5^z;mM?)hBoNm^t-X`^<8!y7H9pIgN`Oc%^No<;x1LQsl*sb0pJo? zNZu0v?T2IA>n}e!EWY*Gdib;ogh<<@h+n?CoC<-F6aXoKJvO!!8ucZ-7V^(O9@}0& z`sAO2f708O_)mk}LxbQG zkHkP~{d5X=g!m`{1sVo$GvocpfA$605A{3zV#L%IJtS{YGe8NI*KfeLhw{dsdP-;|)n)44kf-Dp}&YmP$Z@h5-kI*S;L9Kil+O(KSILiGkE7Eh+uk zQ->%41sVnjeLTzy|JGbFhL78sqnW|1UTKMK1>?>o4ZNO|*JAh++B9M?gdNsMur#0(!xjJ}u+UZRp0 zVfA@?c8Eb4t*Iq3kfo7Ey#&o3C7?jVfb0*1${&N(&#wA4Ax`!bA&zO2H9+QNMH~}j zI5>`NA-wMS*VWEV4*wu-UW0@YV2H==w-xcMUy<-5G!~4*$0>d-)LXE+36;P5s$bdb zPlqiqfaN7C&#iwe;<6=J2_kUiZ@t0<&Mat{xaEEIiZ~My^Lf~E&KE}!xYbpUA3&*j@_D%>tPOEzFb&bB$yLC0tImlAQV_yJ^ zJL5QOY8Zz#H3=U%rZ!1GnYYhHR??Yup|wQPXwbL|yzW&n)C$9ZGeukEqIwXO

gQm;!CD`XE;!Hk_!^e49 z(Z-kHfGT;=b&dY|t~??x*=C2hY~Gc^$4UST$*^#otoM}9tV++gu64dX%T0HGIZDq1 z8~C30W^p}^2i;gt=>QIk>ty^|s3ZWovXU-)zICl06W-i&8r_`$Vl1w6FyWcY_>~B2 z!EevPldY6!R*lgzQ9e!>;L}^iSc+pDLi9Dyv=YueFP)c(^6{nBZ^|a%;~1w>qSC{x zgeWP1w|_uoK7Oso6PYL<$2gS|m7ZcHL`eaOC?EG;UM>^m;~1wBqK?N`2~|=;qRPj6 zUay9Z22rE(ag0+5Rr$G9N_4?7*{I%JoETWD9X^h6$dE>sb+3J8Ri7{>U$eOYF85D9$fC3Ezn7**@zx~W=s(ynt3esm^U?!AY_^*Ao!Fnl^`!Y&6*>r5a1 zR6KtQJC2Vo;X=sp63)^g&IsofU1V`Rjx!u*37^cy2w~Ts@p7Gi1QkET)#-$FwR7^{ z8rX0qGrdj_XB*VB|M)`@=W*l>YT_p=ynU+awa6RIDzw(oLPU!^`&#|9D^se|mYV+snf4%DwlB2$>T!I`Dmo|KzOpHcJL9;7!|?O_S_9GD$5dz4OQ-t0 z7QMAZ1H2^$J$p2y|54&sa; z#$g0ye7r!t`!+OD^=qcEHtl_>ll3(Pw4&sa^#$hxWJ{A&fhPGeXQ>JV5P3#&i z)}0>N>8i?r^J4%QVT{EHvl2x6${#RYqfc;aAXCzp_h=*o&KRJiYU=<=iaJa9glOfD zmcp8;Pg|Le-lL?W3^-$e$%?U)eTq}9AbdA2(-obWGDwxr)z`ar3E<%2bHFTio!3&@7W zieg2a^#Tdsv2wJZ_#40N%fC?y?O^#fA|Y)D_jk*H^J4&*ycmng%St%pea-Wv`s|B$ zs6W^b9SP#hA8em=TM;LHRl>pXxvOB&C_PAOhX+ZA2o$1^k*-JIt+jqeQ*LYi*>^}+ z>91`*EL^pBr7iySy`Pjo20O;YXbJ$AAw$)^|IS;aEA)LX*@b84rEPBv9H>2#3Q;_D zw-QjGVSw0}?2C57`=V9pEAL2q!Pef-0=x892sQ}SA=H521;HCaO$a_{_yt>Cp#fTW z!Iq^>f)u2^X#1}AD2R=QFa|;{gs~9DLCAxU4*@;RGbQ3*8~h8ud(1t0x>nyLvAJ%{ z(nlyASJu;LIj)2F!470FamF}O+<}jG_9}nq)OmK@uDa82qnidGdG_m$EUw3KSA*pA z0Y{26{Naz_8!dd|C;Xewo3__C`K+7n{!-~eNP`qbd~@DQ)Mo{V1~_~i;}b7X`IDwF zw(L1ix)K>%v{&S~4&tm=VI0=07(T9X+gFamp#RK^rtS54K5@E(!wj_H<)sG7fHMZ3 z51N189nL@jiAH67xdo!CwO}lH-J_=Z!`C8p_g8pR`sD>plt55=b$Tllu=qGG;o$H_ z5jg6~pEX^hFV9HV#WnV%3=*`dN?^=&H;FZ%DF9rCu)r%B6yMgm<#q3yI{y>{E3rHD z#B$A)4lJ(6aqlQ;?-nC5fR&I>EK!fy@^nyo;8YU>4``72U~CU@bE{5twgK_11zqW; z)ctOXIFEzlM0j$v@L#=a+Ft+tf{x;mknYqJ%iAz=pB`Sa2 zRKI<3A0jQeP784?A>9@IrN0uu`Y|jVmn%W|_ntLvuYYTFdoeqyKjr&>T00ZCrmnpG zlYoS)Ah-aLT~VTf0^VDfx`B!s5kcJ0TD8_1Yi*?!H$cFp)@xnp#u-OjYio%Wm)cfu ztyZ0`hFZ1DIJIL(>r9O^wdRT zy$i`*rWzUAyb7#ydz6tM@a^RPd2!PM%fXpb&F`jqzWro-qK9{(ecn0!g)3dnnKksz zB!7XAz4UcWKlc4sOPcz3sh;E;mn^nT#XYB2k}@0FLcY-UJ7d5!H5QuHh!LkRg$0Vu z>Da6PW=&HItIv4UY<%R!hP?`L6FlD_*SpfZKwH!2Yg&Hm@FD+lrBTJRwCT&1$8SC8 z>vQ}kqvIdEhNa$`7t#v9H!`$&6=-YPho=8v2k-=g|fr-w>RfipiLi} z{^gSWH^K)02kV<|we)>qw%_Gn|70|J{mQ(if+rh%;fLo}lsrqE;@tu6=zW)b%;<-Hudn1oqfm<5dsWQ~ zUN#o=9(HSsC;b0J6f4s!0WqQzfzwgrTHV!rxs>;)h!q%fX-UTlk3;MyPZ|}cf-PC{nrgnb) z9}^v0hvUsX_|O-%#-(_FWD~aldpynjF(PFoZvFrFYnu8eU+db~u7%8P8&42zQ=1C@ z^HFHr`t2H?t^fCHnZ9f}HMo~==IZ6fx8lGb$8Rv6W#hYhadQv(_6bm!r+zmo^=3nLiA7 zhz@(cGBH23!Pl$M|3Gh}U$l7@Xw$o*zqk26tZQmH*Xc*ol4VZg5M$xewk1YkZGE`e ztKem0f%`l>#II=?wf}fw(?OQ=F*SaPU)PGUkKz-Qmx5a~atrcL;;9D_);$K__VZ)h z*dw@#=_{H>`HI*qOtoiOQ-NhoKNJl)h*kpHJkn^swZ z-D}P0i*c)LZe=6;ZzOyArF%U6^7xIL1m*XlU;koFQ%mfC-R6m_>-3hl9zE{Oo3M}@ zKVzb&Ij^p}-LiJDuKl(`|IrKvhMM8+2e24@T$pJ{O*pm zO)Y~j{oK^YqYno8Huha-^ijVi=_ap&SC3Eb#yX)~i1i;AIJIQ=Z_hT38<9tny%|mF zZ~W+K&R(rw^$Yd1e&-^mmg(ia^#=9(JMD7484c>+oS>&Udo^CaU!N0<^Y$92migc2 z=}o0C$Kg4rz?;#Oah;~gtKik}g$Khon7x+_wUpjn#J2QfAj3-m1SewgTAfSd3H(g#^>)SEHx%ucQt3!xZU)>SV6SPveofN zy*-?>aV?H|p{Ft3(VV>+{^tvaR$2BQzNt5+-}>x#(VIQ3>7M3{mhamZ-p%yy7Yohx zKiVeF@4`jn(mHs9Un5&|K4o0;bdSN!{P@IlQ~0Jk77MMioSHqvk5jClseexJ=B1u9 zo1W&}d>*vze{H|seCLv(RhILIX6cRLERAbn_d+zKpVM?S=jP3dwcBt${F4(?44*w90bpfmd%gR(f&$9R<%0(_PKEdCQviYUAb7 zxB04}RhFX#d-Z2ae$3{7y}F58koC)YTnkzZ^!K>+57~H*h!4b&>l-`1l+VsWsNU9a(%E;X}}2=pBzGHps{47`R%Pu3F=*2Z0|$ zC!kNDlh7$h47h5YDR?w7TgF=Kt%$rkR%HEtAZV^8=0V zm4Y|h`xl?hp3Ey9(p=B&6LPBPKSzBC&RmlkR)q3Ue95-&F^7N5DgjD zFrygM!x|%Uds}0;mY>f9vx>#(%y!*H*EDOeC_5EiV_qL97M%`%q_y$?j?S2TK2GG~ z7r&eiH=A1i4m2Vzdmkz?qfXH<)R~`b4Hfli)(=I;k=9p*a{wy7GssAUYbOR; zA2x}<4?|FQWB6focN7Ok(U<`vhJB8e9};ny$d(SUb``m(p+#j_r~(dDHD0UDwECOP83PYI8*NV0 z%wI+{#6BC*RX;o#rge}wYL8n zH9mk|cCvDK^vFsjDy?EoRDtPzv2aaPss9DIN(YGAHBp8BK4_2qQNaViUk%Y})hMa2 z=6fdUW^Cp4s2D#J!uYj-n9Ih7elo|b(ht+D5K-tGGo?E>wHTfy&{C)nDuRlkWl$-k z&sVV4a4^!GRyi@cZ{-Vd!zwmJ7x+&{@}4A7xFNdGe-X{9s0CXNgK-rRMH{1+T0TT! zM@y4d_jz=So|UVtAr&<-QGRO>6>&8&^Zd7iUI`XY*ThWm^sy$Uvq9FYF+0+JW@FIb zp&IBabPf6yx(?ldYN0x)9=ZwL;v+`J1<@*>wa(CwaL-=yi)ol&Q4<^ICn2eIu89pa z=@TB&20iL~HFnjod?X%)9)lJ@1<*oh5wsXu0xg9Kp(3alS_YLu`ds0$vLL3c@>)z( z#i-Z<^Oz8^XjE)T&}Jm=NzzY6pI!o2-36xC#lp*MoTZ>{#d1pk!Eu#V*w*E@abr*RI?UGKEY?<{H7jZX7Yu7a*X zze3lc8&EA&2i>GFo(4SLg1AgyWo5^l%Ih6pt7wRy;nx8HF{>edm0y3-6;Q~%plQ%_ zh?618ZpDvQ3Q$@IErJ$9OQ5AtAyfnvL(8BNs1#ZbRqp7NQ(4>TKp^|D0s+0b!1~Ue z{nn!-l={xY^r_m@PL$MlUTy4|7jI3n%N>;jx|!H9)r@A3T*FcrCtQ zfcZsC+m-l|pmz~%JOpH6N{(D$Ig8NTRwhyOef(1Y8K}{3lm1luSY=d~w2JyJqy5?= zsO8jmNivSq`%o0CZg!a(w-i(e6+y+&GN=S9g_c8=Q@gxa+0bQPOMQR{tZXM*)+FrI z2emy^yj_!E?0>ufP1t3vgQFh02{k}m|C2Q<=O#?4_*>@!|GfzA93%?=*10g~6uA2r z`k~>2k*HA%EdAmC0)`%9hZYX2Q#SD^2q zA0WMj!=`RY);g5xp_`0CKB1n`tg+=EnAy#|NHYi68e$Jz@0Mrsn}np8dZXKxfKt$M zsIsf=bmh!$DW0FaPh6u<+7s6OPbW?@g*12?yM;%eFu1#S9bVyYE3mwR0H#G0`P-HT zwLvDF3nStm=4fN|0?UU8O?ufZiY&IJ{*hLiwc0;)^N;h)OPQYu4qUR6lG+D>T0_B5 z2*j~z!^}xrOmT%Ma(asJSPU(LN}y7x460n)eGzWPl^tkEYHu=*TkEZ)bAh*zV?Cd6 zcvd8qRr)1aD^^+kG{2an`uT76TxIf`iIiAl>Nz#45L5&eL(8BNs0`ANi495l+k2EB zxYkoK`JF^i^!>Hx;lKvaEy#qCRhIY2uQc~8*Jn`pH6+h6Ix?>z`8B_hFo?KY$;Yk5 zAbn5cu>>lG%Am@pd(OgvQXaD$g%!|BXchE0v>M`cC=ptWId!JV{~H7@TE%ML)M-(* z;5w)tx(PKvw;)powEElbVHHtHL!WuCWopnG1n>V@-#tDGUmOn&T!YeD2yNebW?x`u ziy4*tdzwUDRMJxO<_==f`>7L6>#&S~HCTW3-uNp1j7h6`J~?p4_LTAf4oBZv0oPK` znWBo&1VhDmTn3durBE4ES)TG(W#3+9LCaBC0j-2qi6FBaYg#9InB{oWb7HJn4)@HR zS>Dy}BUp|@E~py%7&-xc0-c0TL8qZlq0gYtp)a7{i?P0F?@wZ(ue`uoj|h8p6ORqh zEyxFNX)9Nyrd2+jl8;{H_?ZyE!KfV9-{RhdQg5g)bT`xwS`PJxR*3J-N~|eM1oKh2UOj=B`C4vr+W|OXxULh$#puZ%_QR1;6^d-I}Vy`nclk_ub z8!4qV=mKeeYxv??p~fD@rnN%sd(!iyq+n#fX7-_A#3BO`+ew;2`YUM@scQ)6OOgW= zkexYZZ06*gbTPJ#94>Z*$Q=VRbJMeij>*n8LYEmDF?Mq9xDlg<8lkRj5VB{CP0t-M zaV$f914fU|&d$ypo8@T+2FpnMkRfA-=82p(vQ=DWmC++JCfO(0J*KX0<&NTXYgrPx zZR9X9hsEIF#^MeZ$21i$v$&qcw(aCT;)I7#tsN4rLX1S1*nwg|Ufzh2nVFgR0p4~P z(`7o;5IIKJ+GFT0l7l3*0Xa!_lAENGY@zg#93-hNeIz@{O;Sm=F#1RilGKhqlAYux zsU%xCeIy4-YEK`@PI8k}lFdpV$w87r=_A=mZjwr}b-=E!4&^TFKwlVrBssr6Fp{CH(G$jx&Yoz5MlqC=laZG(E+@wbtzl?is%HbRFO z%E%mSS8u;`m$J347}eBt<6a7g8W8 zH4gMiM^HX#18Fa5Tpaf0k8!B`G^uAt(5G?8&g+P52z^zg?2gEO$LvGw_%od_OWiwR z;wF#J$jF;KVH|!k0=;-p4^195bolTwxkhLQL%Bn0XGxn$zmEld z)dj7D#-WuC35dBkDS4!Tc#t5CAe|wtCWYESACShA{5pf4Aq^#cMp{8?(-rhPQVyu1 z3t%HdgGnbzC8VHkpm#{4N%f>BN&OQ*)ucj_)E#t?G?H|k^aQDo4fG*t5vfHYXg_Hf zsfM(YB=bq;UD9J+<*14z>@AfitSt#47s)}A!a+`wo#ZB|BwG*qNDh)@rH^DMxk)O? z){{PxgCupJk7OsgNh-;fOdrWXl9K2n*-37aO0uQUM{^mCd3o)Ho#p6FpG+UgPI8k}lC2NOMRJfNg+7v<2CT4%ALiiyK(kjVoYT$ zVGw^ky=p#8u(qQ^Xc9NTURFZ8teIy4-8cH9@PI8k}l5GTiBnL?vMjy#ea+6e&Z6tjp z2T97Hk7OsgNh--^r;p?yNyF(Q*-37aO0tcjkK`apBj_X9Np6x#vSngVSC7CxcVyBx zl0K51;%VVEO z4w6c8l3XM=Nt#ICWco-Bl1g%tTqHM1nnd3e`bZ9vN^+81BsWRQqwgO2NDh)pa*|vm zH%XdI-&FcY4w6c8l3XM=Nt#06z4VbBB$ebOxkzr3bPs*g=p#8uD#=N5k=!I{Dt*)G zBRNPa$w_jN+$8B<`ex8aa*$M#ljI_~Nzyd>?xT<7AgLrL$whLLr0Mj{q>tnvsU#=K zMRJp*8T8#xAIU*dNlucB`K@7s*YM=Fs;LeIy4-B{@khlA9zwNZ&mANDh)pa?ZmURsA5& zC^uu$T>2iSkK`b!b9uf!%yW=*i6lJ)N_YfhC(S0Qq)L*DbcrO*qi;Taq}e2uR7rA? zE|H{%>C2~&G@GP8%>L!02QHGEv6hb@YkQRadxZTXsU#=KMRJp*`5@b4^pPASmE*0mR+RFBxwnKh4hgeB$ebOxk&Cp?vJJ1A4T*nrH`bNoFo^? zO_B;Zj$)33G^ZGKpDe`H+gVIsA$=sN2xMCZvXdOk;9FaSZ8#ZoG3F+z#cZ#H?G@8k z!n!0U$;IqtW~F6_*-8IY=tW zNpg|gBuV8sp5!=4b4aR6-;?x_+$3o&$hHn-Cpkze$w_jN+$8Bq`qtA&a*)&~Iga%l z2kA0NT1Vdo`bZ9vN^+81BsWP~Pv1uRNDh)pa&E*7Rj-$$&A1z~yd|1!xdGv^T|xE@ z_~fD=qj5q-)PxUKqENAV1Ev-?L(uF-c=ekhb`oxebQVu;l%wEGXIrj~a-Rxwj9=+W z+?9SDS_Q3!Qt<7lC-9hxM-`82p(mkr(0XVCv=Q0_Jq0}tJp(-pJqJ}l0{RWK2Kp_u z8QKCp54`|wg(fmjUlV??WFzA3`5N#~~L~4SfuqfIfjv zLZ_h9(5KL6(C5$>(C?u$&>x^Lp|j9e&>x|5(AUtPp!3iL=o@|ra}oM8^cUz`=n~|H zE<=BX{sw&qU4g!bet>?2eu936eu4fD)j(IFYtXOIb?63E3)MmO&`qcTx&@iAiz_5~ zv}v3EVMgPJ7>$pfk6rjHd(A)02%Lj}{#`sgRy-}AFl}e`;Kpj6NBuX)pGom+t)-RA z$4vYf=9N2I5(Ur5r==$meHn6kb)w9-NAr)1cHCLD=2?6Qvs16qXl;D-^t6Qm|Pr zvy@}jzZs3sIsdHKrTwssf!@&DX{_J)=o!VEceE3@1cAoc*QV{4cbY}!7I}#HNyBFw zuWXS+wZ(7A7tG>==MfxyNVaORFUXQfynPtAu?KIJhZ?kLD{juFy(1qJJtrv^vHq|e zDP|-pZA9d|N|>1b5_~$n^^zQ>4S!cjFo~SKavQOJ3z}*73eq|~{0eU9dNq?Ic0UhG z?;Y|mvFCYY%Xi#q=^?sqMQmynTCr_~`9Kwl=L3~Q?d(?BW$M5kQk;`(&$5rVPt33n z8#pp^ggtNckX+GWmz)#ORC;um9IvHxRC=1jhG!1T8a6g7vl#B7^B>Ni|HuQ66vX4k ztCA{WHY#oYmEox!n1{Hxl^$Bk3?;=Z8g^m$`*x#eI^q_Sp{kNwawOLu9sA zArtkH9B!%-`_9PS#lZLFKwqV&ERMc{r=S95G6t69g!#Ezcb6XDqOOk__~d< z&zOQa$I!r6E;OZ+QjLvltdqNGDIv-z(NpHKEcRB-j`oD3%}C{?)(tJ zX{U{mC2BvOmuH(rR5gOO&ym(?`R8)DhQ6;e8%O>5&oJ?W&tSLf^qVtsm=?E3PB)3m zC(waTXOYpV_$)H>Karm`i@VPuc;yt*&z{5atIyF3r{y(fG5$O;6BqceKB>>Sche2ZS{^v$*96t?j;yv`&`4HmhA? z7N38I%-)Oe^tjTjVwqXo_yL*u-{N90?nn7ev-t5cOtHTpNYa-6!r-Cr5X`uWAW7SL zmBG!s(bC-O2R?8nHw()z$OJVYL(&`#@_w`EaTP(? zqzpA^y-8UhX3S7pYOhtxeX$o%T}<&;hUlcd>91fwISmMok`N?m+a(1(!b=#d$PYwN zr|Li@O*?;8zTb0fZ1G3BA{c3%ehNnVtvY#-S*(*3tErE8lvUSDN;vrEV4Rpnr}F}l z?aSw&9%U9;@k*P3+=*FZCuHMe%-Qiu&h65Xc%_Rt(nGl_ z=0_@FqGuQE7oDEyqF6bC9%fM;t%Qn@uE@Be;d!tt{xrK%R>h(GyKYG96ww`1nR73$ z#lqSJU0RcfF6s15BHBU4MD5;HN-wjhwIMyG2hut@d!ShUk@C8byD1w*bx*Xos~g&m zPi{>7rMt3S3{OFg>O{o0rYJahKT_~B>gBBbZYnY)EwYyqXcB>}I7mj2qzRe9WK?zud+Z3lnT_CA zb|uywlXz}Af-@!|{lj$p zIsKB{Lu;R>gqg+SnaIqW!r)B&!6DvVdw+@&V;0>XK<4AA2(EkpeKii=(&^Z4;2dO1 z*w6!WkTFib8LWPEE;2ecDjyxj;nCM5 zvgcqY`7OXm$IL^e_Hfm8cLDZlAv#+wRX z$Pa1(`9l^c0Ft1VP%9`93W8cg!B7a)25JkngW5x(P#6>rS)mS41QZEHLD5hQ6br>g z`i%1F21oeP=Ldg)n3P<%KYo@NDeN5u1*OfIQ(cn+oRb=yc21iik(J9Jew@phHkXjiOgxVCZ_Gg z^D^pmG@hr#{9L3D??PIq_PcS0;W-2SpS&HJ1$!`XolfjA20p|r689k(=tO$eJ|&&4 z!T#f`n7lu~fy@uDA~WDkV;U2+7k4UGJ(u8n_n-$KAHc?R>Z~;mB4{r!ey<-y=8=5} zwt5@AL@>ZCK6wM>xrdR_>Ak~BxYl1&W|&0~t9Lqzj7~*Ijm%;*USQn!lAJC!AJkuL ze2PmW_o!D_cA*`-?9hE=XccxE|?**B+r-`B4sXU_P2U`#Wq>C;jz`@!Y%Y zJ=D2$92t@}*riM~i7g)>xT_jLlGfs52BnV>eCz~*B<Rdkwk8ug4!;Ynl)KSf5T&p$OX_@1x+oSVE+!CRrv zkk%>db0yTbx96=^<69|3lx|eEijX&PLe*Bvt!~G^IVq3vPf=1+<$v-HOcANq@eVBQ zG`4Wp85|2FZNnKQ$RrMbir~IKAV|_$e#v0{7wBrwv*-&+d*Uo#GQ^%iaOYPDk~H5x zGPwB<2qv6EkffEKV=(_Lf-ilIAW5tHn!zhyAsBNWL6WxkJcGgK5d7^01WDT8FEIGz z*9eAPM3AJ-zo delta 200444 zcmb4M2YeL8_s{O-60TnYNeB@VdJnl=DiBBr9YR8tq7Xz7kS?I~CPDD-VHQY-<7YS~S;NA-a7?j< zh24W=vK?>-q{DF=r86w-4jfaW!ovQAV_I}r*nK!ARSXNe3&)H~VU5G?R)QZfpcoq# zHXRNx9FyZf0gjoK!2ldH;{mS{7RIDUVoO)Z737uXcg^x6a>VghmX9m#yK-E3uL^Gf z#S4EdZ-=ek%74Otw{KWB0>D!em+#&%rpdM2wocE=O3%w{obAeP)i|wHR$AliwCwE0 zZCx4ethQ~_Qu0&UOhXgtD`!^NFN3-3PG{yylRd{;Z)VsKNy!9KYp;CLUS+%aQOWLn zX(VM-dTk=ecgI;8OXX@NtQ=??9h&v-llGCaof|zR6~8bUjo)xaNYaKmx1*l99VNjb z!(o6U91bHK5pYC$ZbwyM1-8}Du9u;G|AlHf&DIX6(5`AYB;AL9??Li?gy8VP5eDfm zGO4)2*~+f;%|p3*NaUBwv4ot)a*>RD|>3M zqU83yb6hE|v`lxFJ01V;+$YDCmFaT1(%l62$;ohKW@V?Qy4aO>O>v%uqfEV9MHcpI z)2(w}AJ2DNO;4Jtr)OoSyE4+Ul2hn!YUjejPI*0&`{bmhrlz?vGt$`P-KHlRxFCZL zZ6562p>Lby-Z@zrSsCsOSB91bS*2uTXQgIlc;fb$Dj1}6mbA~*g>BhqO0~IiQm3}h z>)yUon?5yD*_C~!G+Sy;nwsQhP4+{QJIAFarLi%PB&B&?-EZnAvt{84StTEu9<}q9 zI33n92Fi20ZNry}$Y?O3L zI&gCuLN^YXWXXCL+9bX$b~ottQAbQeJR80=*<{=J(c=bm=u*_PN7~eaGlwsKOUbLK0 zCxe}cvc*D3KnVX>UOjUowsfr7$VyL|!rAB>rqS$LoOux&_NS>MOF3yWv2K5xsl^A4tdsyFf@y$ti+=Q^9xqFEyvIQz|;=#vhPDY8w22!Bdc$jz1ua)C~LqO`4jS z(+8IUUBtT50{gOa*|p9Up$gQICs(p0QSC}}D< zk_H7$1y9nzUMkp22YWM8!C*QVOa+7KU@#R7rh~y$FqjSovFPbw5KEp82C?AjU=Yil z4hCIdFdYnH&1HZ=7~};RU=OP!1MIoLUIy617Rvy8*xVUl51TV1BWFf8u$KY$z+48H z18W&z4UA=CyMh2)U@8;K3IaU?frdb&LyI9N10<55e_(!@*h3J<7KMDWphY2@END?+ zXQk#80XfT^Q{}9(^g5TNL zMZocF>>=QJHg*umC>#3+VS{~R1CH3;#9q6?N3{>$dk1;PnN7m6m}3PlsBeu^fb zOVI?BDVjh{U^JoV0wtyBg3$#0plAY)@Mr?AP&5I96ivV&MH4Vc(F6=qGy#JcO(?p6 zL5eOIO~4>U6EH~81nf~X0ecipz#c^tut(7Z>`^oUdlXHu#W9>iYAZ| zMH4Uy(WGz&h8K(`&~xwyL=zq^Ae``c;TG{FO~e<7Ct$;s0`UZFxKc7je902=1)~W# z!J`Ry!J`Sd!J`TI!J`Qp;n4)mP&7eTFq-iAf`JMLJ4F*H9*-tynxYAsrf7nuDVm^Z ziY929q6u22Xo8k8nqa+AIKg_uc+!do7;qX5V?05-7*EhF#uK!P@dS-xJVBdq^5yXb zP4dY~?dzuVZ003X0!#SPlo;7%@c5qT1KezD1xq*2{si;uhAI-wxMesDaQqCjGb6|d zI3kUnD*u=+NRcz(pIOE*R$9fpz<{%$Efp-?*-*(`m3>p+JeQeea{*1NJhzPISV_9W zq&uehkpl{Q_88En3(c+~3);3V>{`^Gomg*d-}jD|F2-=+nAg+s^Dn zymQYDZt_H06)8+-N=0tM`o@kkDj*)ocn^Tm; zY~x zmASm;KEkWDJI%kvOCwp=X66NQwx7f2Pnm1iXG!->R?prdi`C$%Y_$AhWQ|iTCYIu| zz$&cBV(}bJwv07+me?)fl0KAJF(|Y(&a%?D!(v%t@SI4p$P)iKu(oBsDI8aFtHLc0 zRmTLXutw^U_)*FeX9yocpsDkaP44QcN$g0wTeEdzMTUwz2ZPr}96n8Vc54FVCQ5R)46lh>cL5we#5I0hZwpa6loP;tMQX8o?hM^OU8o=l7wO zR+7`5nVFpF$`I?Q^h`FktF8fkfk9Y0~I!}dO9F?pKIv{a6WN=^4wbEjsogX=8& znD>$;fwf!+w5HEk7QuR-xgN7TVfS6wWu>sABP?Cek+`TbD>~*HX-V_kebVxjBozzg zG<8*&k)6V>cd!_8K1A2#O^OAOmI!_v#M%x%q-Smr>>^61p;EI*Ab(%8ht4TidX{t-)}9F>}uk(`>E zlE&Ax>6uw*a}%rMwpQ}Y8w`wSm}~mA7E}(^{-MV#EqGHc`VgAn(V>=s5xv?M+3R*FyCxxxOdrIxcEsQ;RBbb5;05A|!J(k;+Ly%t-l$Wht;Et#3+ z0VDV1sH_yYOLD-;%B4!!xrp(^=K3*2|vPUb3t*m8qPJEan<) zJ;^&~gUyx`Qeeq}G4LttLoBzWwY_I6R8X112^$_L+*v6KdXaDK`>HcgPhnKJ!S7p+ zLstGR<#uCMCp}emT1sSgZK|cB=cC;)8j0t2rDcn{%5ZzuePCH3$ECsSBRMnEmC1oL zH`HlrS{gfg$WmA`(b}FB4TWBJV?Pd?=V{nfPRmZqOwMwriF!|SXJ;qFI8RkaUwaSq zt*cUWKrhP3;C;}u{$oo&0~>M}4BJ1q^fs_5XTi@&hb=oJrDbg373=sY*t1H`NKF;q zrVCAs9l7LH;@8%So*^eKUrTY=3({TLN&(V%0bHJLr!14?D0jL$)z{ffw(&3op{r*s zi`c3e;K7o!mQSS0X(>>TzV(w1!zVi{jhzU$zT$CwV{yxIF!|A?Y+Pk|#dH6HB~^~j zfEtD_nJosU(j`3*y>bA>Otg^b&dgvluUXD`>imeEFx$Vs`;DrWzd>(q{xkOGGJTcX z00!8|^zS*oHawlLAS1&+7uXjB7w*bYY5uPHYOzcBYS{|rP4IAikPmIN6# z7|)EeR%gz3R>o#lu*Oj2_DsKHsUb&arDXc`Y*2@R_9k;IgiZ|{BG%w}E*%FMjG&IJxHX&*o9=~^Qr!&eI-1D6A8s8l zMd3`Y7$#o>;dlg&xom-CO_av7HzjM$v_X(E0gj1qJPF5Ba7=<@G8|Ljm)d7ymR8Y~&$Dg&Fj zf_KC|?Ao^g>~05u!*8&qrPGAXbH9T%3wn%i=IOAw^tA14Z5}5TG1nDKf@j?z>nxbT z1;ne2EDxONHkXM(;_SsJN_{!h3a6!j;ifPk2EkeRabyHU62b65tT`h^XTgHouXcRS zp!^g_(IR3jSaJr00XL8EDO0SwC<=HWVw{nn#J)v#ixVY{c9#0IHJ{z9V1-Te@zzUh z-;>rAQ82uHJ#f1{aAJMCOl(r@&~Uyx#d=hV#&H^;dVU(J283>|`ZaS_w^r~>m}Nay zrrHF<)8J|A=`uB@O4@7PRkA)U!LRht>4N40QPbQz$VslDU8i&&q8O3%XYbw z-C5~b;*{%#b9{DsY9?#B+}fFKIAV!o`=(l4o(@Z`7xB#QSDD#qX`ZIbtxw5uSx{?G zeq~CQ0jEQ_0Oe*=SHp}8tW;yathVN`i622Ho%ftILXOLX5$7|RNKF@$3DDT&DPS1= za#mW$S5C{!00eRp!6V(xi5?(+1cNTc4bzxxvD$EFu)O`27`AY=H4mpu_u>@83v-~%ns{6a%?(uIiEIzwoOilI9UB9YZsiIS7VD`vgUY}?zVQ27J8Oa&h zsmcU4Gu@q>?asvc*~=IaDtcbsYpsQ2%y;aixl%CtR%AKrq0z`@qff2PJx?F7X2N+l z&9@iB6p%-1m<9CpKo8B5ovFUYU{!?6po;8|{V=zIu36DDjXytgd*o)*Wfb&bvpzlfg>Jp@^}4)j3h$dJP`;8HK^aL(GFPAMKZ3)C~({anoQ zu?#)Bl?R%!wlNA6Q+-xKX{l`6Jxf<^1sda^#Ag0%o#6BjxiHDs+}uCU($n+5%W%et zE>jvhj~CI(n8_u;`|ua2X-Ar0-K1cam3Tel0-P;hZ*9dJX8Z5Z6XLQm(qLR~cEmsOfFrdH0P@5pNB7CVkc9?M?DolTa^)85<#Sa`hB@BV zJaKf5_NXS$cyhR=p3*EVe9c!ma_+JkC^=IwF^0x-`Q}|1XY*dV`iDSdS{e%bxrz=(d z@5K?}Qz~Dg#K@e{vEh6AUSqCUTRqkw7IcL(varDpRYno5%5`Q*K z88bufKlQ$0&$QV*ldEkO8HP_DD=#~}OiT2BI@NGs$CI)EIn%uETiM-wwJh3&5?Sjw z@Bn?9GW)jt@tOGWDIO!<6p-XFr+p%Kc>G1#JnRIjaT~_Uc?PHTwv4iXqHbj252sg&xAll0r*`fEzvRh} zo&Q&|&uuSH*i{obu|Du~HG9i5i)+iD>@JqiPfQ4eg_bO693HJ2M}H?JFOg?Gc0%rb zX#v48^Hun{lzURY7DEi4edE~ZC|i|y4Z6D3X#BKYs%-jtp7Frt&1_>8TdWVfbj&m1 z?_D$*Xh_C4q}wihU>qU^hS%hIgI_aTShbP6r5P8=^1Z`<8)~$DJ`mo2_)i*B2TuL1 zbb(k_rrreas+efw0grhzbjY*LzB^y9BE!MVsXf2p@N+ zaiI3a30X9%t{&=(1q}53SW+yNThIbwp(T40r|D^nWZ5xcy&f!*Wl_0-#x{)a z-wf>9$7WqOjIde_LAt~A2`?M&zUY-juL<-#Kjn^G`OjDABmpBJ5LS8%dJYjP5XLxn zYy0CA=b-!q`;1qmpTodlg>kkj?8`Y(RalQWTZ~WTh%sUijs|8Ss#F#|FAx@0N_D5> zpy-H(?D~V$4(a0{1QJ8^tR{v&uT7+YQe%EtLzqOAd&d^sONkdu@UQalr;G<&Z&2$b zO?-j3&J!wp{qsZ8?F}vE!jhZPZ*o&|zp@64WO;kv+tRB)uz^)=aq(r*_(?YTZd?j4 zdx2_uY3;={rJxQn^(CIQ24lB+WA$cv%Z)+Gj3YK)gGI6|tZ?IFRO1+mZ*PBFesa-K z`OFLNad?gj)BS57G%y_bu(I5_;t(3?Y+OoobfiG|*mtW6n-is2XSmxuQ4~me`*5P#Y*bVSHuqZx8pvf4ks{*> zvVt|kC*OiKqvY#_4P}wP4>Dus>r~FsX&)M(MtJ7JfGi5)Ll=dWZEL$2?|oX)2U&U{ zf=aR8T|d0ENEj0TNL%K2LXcZG)UPZbZPZDp05cZ+fKEul7N;w1bu4w6W<&fViMr!V zk&7fWc%mqnBy97eBqHk#(sP6bGnlF@6jH{DfjF9 z(3RddZ^;mOW=umLMD$0UECg2;{e*PlC3W0kSI3DF#VKWCq`@uHZs7!17BsH?jH*qe zc5I5_SnQMXnie~0P{(R8O=aQiG1D{I!1AJO@i7{9^;Z3GP=WLT>0^v%#zsH#>pVTj)S$eFGUpOVd-||)2e4$i}qMC7> zm;dB^iRSn~3#@%bTU2uy80P(CoM#dUlhy*U-uUyCKp5*iA^i}wol^fk&4*`BWyx6)?A0v@HGGaQYV9={0lE^#XOT7ViCo=pg2#lBAd<3a;>oHU2e10 zbIsY*vna)`CE-$L5DC2KzR00RaZY|EN#v=vs&N36E~_^SeY1nnt{Ex#49 zSTz~O&04EW%ahnoHdqc7HhUoa*XCzs-=(4>r~c2<{R?J8?HXRG*{Ks$81}LqGK0lc zg-G93s6#AX<%@jb$P2El4gHqTl!fCJ|Ey?qPJM}H5v6Wej=}PU-%00f;|!Z;|BjLmjz|@ry5o@4uijGz%I(7^Ib1=7 z=dCfz{r-HM<`BQ`e4N8374B5;1{tSS-y35&JVAw*hGojoD&$(@mp0BV=KdnQd*kZ+SsW1HEJ#& z`1tMm#s({PtMGxUuo%^}g_e}Nx4Uvuh>fqO8h@g4J!3+6Z5gd>iapDXmxT-6dBz5h z!locDz|E>Qu5)nGgNw)ClmFn_MipLv;43N^uGG|mbywlhy?2l{<~{u67hF4Bg>gp( zm(lw_8>ddEft7!`@sY&7w;VkK% zHQq-R=N(gD94h0PwoWy%0@qX<{ro?eKKGFYjn_$8cuVcCrA3Ki&q9N}r6**Zx;Qfa zQvLGDTevF;8m|*gTWCp%i(-{om~WvA7fz!(5p@%&-7>u&!GabDHz~~`SkMAtk*6eR zfv~78K4}=UHn3V~P64|^OV&=tb_s+IpWEH#M{DcNR zPWBhCd6!#mtHNZt_RC}$))*Xa;}7p)1jB9+hsUb$pyDC8wItKTr?@tU+o>=mA4;M5 z(9)Y?(WJpRO@d^3Wb=tAx%OOD`^SDmX?qJ{m~{ujI0>JYbYG$|`a*mltY`}wZ;$b+ zaa;`cyPGXzKU5Zj?8|stwxh$nOA@SsBwQdI=3Bk7h?pI;QwGJU_h27fm{5)!5nR5P zc8HgR5N51mbFy0ZtTFVjb7^|Mj3I$c#Wa-J!KyY6aqEyIa^dBPRUO7CiIae(gHGXG zjzVxuoCVckqYZW$Hq~rtyrh3P}p-Uoz|P& zy(%Vu8YWZ>SKI!fs>W;Z=q)#BZYWG}FEv=vhM*-TqPt-tdd~Tn>R5bd)eSstyu0ap zId|d_W#czC{$Ulqknj~vmoZj}E&15^{wjrWfY2*3K)We!hNVXT&B8$XeoyxcYXiM3)Lpur-Ut^kOg_So1Y zRohY2ff}gV!!!9ZAzs5U4X2@)ar7%kdB%CF>c!Uc$Zgz8Qa3wCs<3f(XL9>iuRbWb z_GA^tQo%MkO-G-9`xg$kR^dB~CzJ7ickR=Rw@~4a?k*?z`#m3P@RKTxbpka>buwom zpI@`tpF!WkYade_V#Ym6RX}Ia8+2A|-p9tzQsJ=4-_hlTf=_?cc9|1Zc(l7%twd$M z9tFiGdRqYy;mQY!)?>?xNrIiZjJUp9VER(tc-c5y%#hs;{6x{y2 z_rtHe<5pMUrPCTxzs4By>HSmEpH7L$r4^XQ?<3}w1XX^NW5gStZ8eoNS5##pE5yWv+qMitvgmrQ>d?c zBa$V&TBc^#M1>2FZ=~$-@}Sr%iH+A_ku0H9va6h;YU8{IuYsYgdWRdma{ zJIVI++NGLp4Hn4~uD?{z8mQVhaluO*C?7h}f)C7O6~?I+!YEa^kqYCK3q3=r!Vju2 z&ZSTr<>G&vapV0|7$-{zqg3IZDvT2~gi)$+9~H)#7{VwOnEmfAw9(O{i?lZCr7DPI z2^WECP1IIlEW@3Ntz}$ssMnSgu|u<#lUEu{(LJE$<$ zK*Lw^rXYFscJ7jc#2%Z>v@Y#C-AjlM-|4#!E&d5 z{+m{AmkMK^W7qDIt1aB76-;LaNL38E*$sZxDUq_IJ|I3;1u5_perR>#V8em zJ2OhX#XqXn8(zP|4uMkb5W`g%FR|h52c-(vQDF=PI8JZ@tB#X_DvV7ED-GIJ5w|eJ z#%nNcy5Ke^ZZE5@WvSXY`FQ{NO*G0nt+Mj&)J27Hsr~G}hH`QJLUqG7UV~|49Tx0@ z#=CO5s@?CC@6;ijP$D+@hN>{0Q?Xi5s^uQ6!c}(eq~3NRy`I)rHCQCexLdDINb9QF z7`yk3ZzF%c_mEa=?Nu0K9FCJXxRk6`Y9|%O2#GLGDOLCp6~@y&dX7@{yp{@MY{wRx z_|Z3-_5c;e7MtJlb)vfRv zhm2b-hc4aZ6BZ4o7JDIH7BsH?xT=lU9UxD+MdT+KqXJ=(EMvo})lx&%zFORhW-l1{ z)Y(f{6-MJY8^V6B&V8a(7$<7Dx!Ppres!%AV^`s&OY&)%1QRxfd#^DL725Li$238N znLa`Bl~gF=@M0^KP*m#eu`sKQsr_9b^^LW4X=bbAX;{s+YBjs}WweQP*kQ{o*=cKUcn9v!o>l*W_(1(}J8f{+SD?{dzKLTh z&8BDE_SoPCukRtYJzJAa{=hce&Vf_XwY_uc4zLe^Q0pcTYMzJC2Y=1sXve3x^Qp-7 z*0iUqY6B10(rkS2j~@6Z^=wSC^GNo4K@+^-D6>n)Y;GGrVPV+lw>+7VCLerF?scU= zLrJ5_{&R~5(G4|}#AkrGm2sd3SF~3nmaWeKyl^D!^Z6ETWhgWoUpgTTHxtM+9!!i! z+R{pjd&XxNn1&0L(qmAjwv@pq^&g^z7HRTb6->fq4Xb_t$~2p3O>D#ImMf&O3m@7d zA}IydM3^E?X9GX7<=UPm?(rX1(k)1c*rrm_mX|i*O$*$=DU_7gV*~Eli(L2=2d6i% zb|e}r{s?ke`sN+F0fTax!(RIsa+yc^qh72?cQBAg&SSR7$WxF2H^OkY`AQf!?AN?L zis#Fhk$9iXTlsNOG?!VGNSep`Ju@^f2c)C6uK{61|9J!PuKW_@N$vGe5c=gvy$rIK9oxy@}K zL(JA23gqXPuAn3vPr}{5i5p*H(>{ZG<%_lE!%C$^m9p7BOd3UsMfax3-+lWR^ForH zC*kf@k24mT<$-;vkR82XtIke;ZcBvUj*H6g+U)PoZMjk{mi2`#*Ut9>aQ_weVM$Y@ zwfzSk%1{Asqr6g=q1(Pu$px~?57xz zgyxkbsVbXw7`&~@KIFpiCH)79ajf5$w)%j~7%5qX3N?LpWcqneuBwVk~5Z7bVY z3N@uAwKQLs*uYZg`aJE>pN|kNQKMlJ(Jo%J)!%W{=9J(qUoa)}E?Yl?ZXTgQXXmqD zynw&|yGDEn+xh(H(6V!Mm67xKiR!?6r}2`a;PFZQpqFCtjsuZqpgiynjfBfXc{gMi z{|BSQHpGAzUT9p~jl`PT^D13z;iDmfYwkKvS9Ngs+rueo^Y@?8#xBv=y8qcCBj6^K zW}9RlBV;1soh52gO-f4pHC|2_o5ETAWLJE54=o&BC!rDf2?u8@zi({C#}z-ZZRz%{ z#&VJ^oC(6LgtPdW5f@Qv5d+8JR-j^E6b${T>V%_CzAZ41Izwr0`hH_m}ZfGTiOUYlYuJgm;dLq^6O0K~%x* zNo3~Gof~w6FgG)n((q2mq42OY=4NX{Nyj?>i2G8M1jA&{Sr`$m3Bh&av+rMF)P$`l z31>BGQZCnriS1#}ADqQ^*KpaYCN(D(t_sworj&&9N;Qd3)^SdyCgl^0<`*zYLyy_M zbI@W}cb3w$jZ(ar;}=_yWW#iqj|{MCVF1SP>V?LD+_pCcE*cG_7K1 zcHtuArzIVE|1pNaN+h)_Izy~t|0HB#w!zQW^fYdeF-wH#a5%J z!OmT>y&=Uj=?7a&HglFEg0=m@mQYgyFL>M&H={^hkA{bxE--|V%z^0@yZ)1{BFi0M zuU~yPPPD}N0yVwfYqLv?2Ug6HP|obLjU9}4CYJnYvnFtOz&9@#Yt&%UGil+tKE=P8 z6WNxc=*nGY=Hfs9zdzJh|M1mxVQqOB8O(}VteYKxoUJ@(qFLFt?_%Ou?(9lYC6{fL zqa(p*9!JIHZ`k_oZy)BE-s8)ri(S99UUfHVR@__%%Uj~eD3R?6(MaYB%4PN73T#Z4 zJs}FiEsx9Mf*adrx7TJV8TK~L{sS#XpUgSc_VqTaPQ8$v_$a&NwD+)awzw`IitV~* zFJc?)c1xtVbDE9))u!(}TlT7>GTS=F5oxbL?If*+Sx9s?@HtH z!U_fcBuEJVu|i`cYZ$hcrh^yWgH~x5cI~n)(ysX*l1&}XI0wwvWKq%fiTZW!EU-ty z8wG#YBdFD8oK03ft7vz#xmRq}qsxwR{ceo)h=s(6;1d<#R$6_&Usa0vlXBZka1Gu$ zvG)iSakFgjOhip`my~<2Lu5cBaU2vvo4(<55lc<>I_%;yduwOj)0IE@;_dX@7a!>V z{;i3f!r83jFcLL3-tHNK?Ye4%r+ka;;Wj=O6nC7VN^Q1pfTK;>3}C1iMd7lMYbZ}s zgwW73;gd_{ezg!PlnJghxQ23{a0m^|Bnqb{BjAA7&4|02L1TE?GGHaK;%ji4RBo2l z=aEtD$p$j2+>cCw@POB*9|()0FfwQwc=u5`8W;@2?HJU(UgbHIa(YFy3D`awZ;UPP z6o6iF1?AqTaXEC^CEVM@v?CUD_ z+%oZm!o`Iz>xDZi*|CcDQF`Pq>_A_8B(o2&N3hv3_OW`L3_iAtVkt`X zj@1ccYLsHRN1^rj>RGwhg5}1+>G+AOUEf-^YKm8XyRxDOV6^g8j&is7zz3j{>z$K}qHs;Y$;x#)eKPg* zxL zeKL7p2guqY4mO8FdB40Ggh`E!7y3e!mF(9B``U`I9Q))xuDUdh|Bwf7T)xIs?l#vi zG1C@-&y@$z|O9D8H_;BXYiScR@KW+cb9Y>Z7{GF{HT?oUA!s znHNZ%pNea==MI?`v8`#r%d4n25nrMOikM9ri9sP=vu<+zU; zPVD%2c)k3tnINHydKs?gt(?rC+7Cijf+%))jUGG@XkkP1|*acxpxQ5FMUi>#&sjw$^3W zSp%oTX2SY`_J~O3O&H~nZMaS0^(yb)=z0`PZ+!?hbKYv}@nXl#7wMW2mPfp+#dS-dYL2${M;(olyvQoIKy81E`v>%@Q% zH3o{OSuUrDw11BQ!Rr-o#=#+|v&%tIcNP#iS={Fygp4g?#EW57S@LlEMCX%JUR;^b zZGD?L&WW?)BC~ceu+}BALKxm~P?eb;4?-!j7XhI)954ld%aqJ9);_XqV8Gm>M))!D zM%Y=Sf|bMG709i~EMDpiVU~><1>H-dhPE5k4Q%F;UF9ZweJ9A{p?+kz2QP@n+r~hj z)h@Z>-Dn}K4gsqgIk;77PYaN>E4SrXe$2e(YoJfEy8Z;;X-1{8CSFMv+L*~;C8`fM_rM`o3mTImz1Lkt5VCyV>4 zgN!b++LEj)k1q$e%95snvz#sN?G0s?KdGd=LoB%2sKJmwCo4C#>XXUYX5_5$zA=3w z)$B|L&T_VL!@543%x05W<&9XF*sEk_A8Q{~HkMLY=nTX( zvZ_2m7s7>yRkC=lE=b+NS+9o`V~E#_k1W7SAwG!}-e1^7?%07#dM*t%j)NC_Hg$o`hBy`#RJyf0bE~ zYNK9!rG+EXil-px^7MR75HdNd@%}>{V-MyE%7Yl8>)4913jW|+9J*VyoCV#2llfy7 zpk~CztUgAKGPy7hL9K{+vN5mo;8!52z<&4QpQf2L%kr50Kl+ zB)YL5_;SG2B?;gR$ZC-*4aMyU=5gc|W;g6rEMklvj2*MTK# zAIyJi!KCM#wfbWrU)YvzhiR6^J`9)P^x4#ow56c2;oWCJ*jhVkYpGux!Kq z7ETs#(F;O`>P}~lZLmF{v4gu!Y;AW;Qwi=nt+RdV1>FhmJB|h?`i_fSub00d5HHHt z4YGMf-38l7Q-GI9;8ZEtc31WH;navTF*resH`IjaD^CGYBjeLj`egKjZyCDDwDL|f zxI|H#UA!6W281Xam|R17;Z1oO=+S)2LwP_8_GN~b-FwqK;%w#3cKU3Z9;cI8<+Z*c z=B5%5Ia$0SEy&!IS_d7*dmCVWh;I~x7lY{TbkaUpaZ3noK4f_Ppe|Xt-z}<(zwz+e z!TJ1-n8gRw9K^eXf@*ZZz4p0k2FhzqbR8n^$#zF7s`3J&5FL>!2mRMH;5o`rT~}*T zyn^cWs_)3t-E=?kA#`+3RG-b%C4$)=w$Wr!c{6c{w#RKmP8RQ)3DNd^MBsfAUa#^B zz7RD+ORsjo)->=fG$AyUQxPgvqin<*ZuA>f>tjA-c$J`TldAVeMPZSB6yUWw!4)7n zCfYbzd5?22au=3!6gs01IixFeCvl(#yxJz1d2y>RRt;Xx_j;AL`ape#x+y9OyS%1> zFZwA*gKi)bPtPf@;?WN?S`QvcE-5eK49+HRMiE(gaZu!O2=2wh;etcQ8P|GU_!5~@M>?t-W6&nM7o0_2 z?ivKyN2%Gv1GnX6&z6`#C0bR1hhocB8FPkQUUnv^%efYC*-kExI2(!;!RkK-`8(A7 z@d@7$H3o(w*uPc>zs26fYZ=~kQ?5?wdGxvDo1o5YwL7ZQWf^FUZ-UdHnyb_OT0qv3fJa-XZpT~l=L*JWxSb19)@b^V|BF;!K!!h&d74TAxT0>~l#D8yokd zeQep$gCn2eH7-$3e95)GrR#kiN@d`y_4P?)j#hDlp_p(ZG5-_+JMark&GKe!%lCX7 z)nWG5mB&|Je5^B$c37AF4r>L$<}Ydr!H&iVh&w4@TD&NU9sI>UtgLO-6T6`Ek0BU> z(9xBsNnp4w85eK53U$$+{N`-+#Ztkh6-7~#!7QGE;J$GFx+#8Fb%+@;mXvEKuY#gG zxAiq)plK21i|FIMQb2zF)hT)FzjcwM4e zThdhak8Z{^DyCAN@NW8&zx4LJRV!?19|*ed-h_czrUYn6n!*;`(kmV{L=xoEfpQYB zLkrbA>8d+ti+A`2VWVkdD==GwRk;m$cRqMLiTzl;aKXu)r{DVZr6GJlf9*DWdAbI> zsms6^QvsF;SPeDU@jH4}Fkl&{5aF%6dIVS>_kbMc-O~k?=*e&e4YYyxgVJCTpI{tF zIW_-RkA?dJM%*c^!Q#An1gH{za)`o;+6XozVGoOKe6!%%J2 zQHM@#YD_q%B|=FyNseA@%Vtj` zv4mpq`4pWReVoM)v4n6|nN*>()oh{7!#pa%h+8T(*qz{1m`tIsr|hAPt#CMe>l9yO zSe~1soK&YvoS`juj3V0bsGzi@XmYV_bWjFWi(Ju>XyVNdZyIA=q8+1xbopg55G5}F z9SZ($#h_M+w!0G1&Q%IUt1a?{mnKral>-Ox%vXBGt$e#*mmRWWyi|ZM!nz0#_AIIZ zFVO<|9UR}o@dF$`!f_dnpWwI-$1iaF3de77{0_$-a9n}oDje6~C}jr?j*8MP_O-#$ zkPZA9z9&U~kBe1n1Kto+Zf$glqhgMV3suYr)}S)cI#v!wD~Wd~mGSh4XUom@yLi=0 zc$r$c^nF!eLT#ijeW6M)7kK$!NFYylCj_O9c|dg)-V+-tZ(v5SZdFxR;XPL6(vz!E z{#~kt%0Ggc6N%O!F(|DxQFRkun^|tYA10}8!V5*qrLU__`R}V9G=D1mx81!HuE5XuUlgm?T(Q_ zq6ArdsjeFLkPk2A4HfrDWqLjGbzQwsPDHT2^@%pQeo$IU1Bailqb;F*jc(}Y>o2dJ zF4xy9paMbA-YBRORHQ?Vi1ur6+J?sdzIr2r`U+HdoHE(Z*QA#Zhw_zF`ZpmbrZ)-d zE76*!5Up=YP@2=_@9Wa=(7xVq`T5#w{r+-&J(@~RTuBY;1o^tjO|(PqptPB3{=O!8 z;ReFMvkTwVB8!it!!%7+r)k({@zv)c&ehj4p*dP)kR$ywf;vL9Dw#xUni-0Q(}~!u zP>cxnzbwBh{fNC@u8Z$vQ{G3jLt9?hlxUlp2Bj4@QzI3;zmGL33OY}qGPi2(7pcml zSIeRNTjT`IpRC^Dv??uv(vG)K-Go=5hH?{_5o~)))lH21A>1VTl3a50o!n6QN3h{} zL|d2_l$P5{brW6*UT(fM@>MtSELxtM{{kJlQ?=GX^QY3BYfZE}!D(CDsBXf$JIl>? zMO)QPoR@@fGpPeq{-}18|B`k=-6UGa_Cy=rJ`_!vKEXS8%gtBrsJe-hyz<<<0Cb%4 zb_|t&1l!$-Xy-Zwr7i2M)+W5%w%mNj6{v3F%)C4|yL6%aM|BCBKh1Sr9GZHW~3bRsFpu+B})XzU>O8%IvJDrldJ+XE!|tZQ{(KeDEuT z2f9JX32sKbD^U6VX>gAOQTZ%l2;wu8xA=}COX=mP&Fn6?>Z1Q33GT0!{0%R?P`>N) zUleS(xe0f)@GsHgZd%Wmf19R)-y5P5=&R6H zAznL&KmNsBcCfcY=R!ZVGd-@MvEjRuq1d!jJ(Fy!pXSxw2&6jUMD_EX!HF}-qWI=! zx$g`iCo~oKU{kp&TYJOv8sbB|LAGPb<7woG@fb z@3J4isfLsJCn@^`C;MLXtHp*D!|)4!1&`rHKip_C8!i{jV`Gb990q4AyHaLW=Mjg_ zr48C@qdlow?EWL6Glt83iKbdqewj@!FK2$K&j56;0$;f)R|WT`j80Tx%LfN_26jrS z8)Jzza!4o^ZBHpKpZE%`)t*;i_ zH9V-bfNOo+QS1o^hllJ5c&(538#Zx7kgh;Gx{2`ixy~c?^2beK-t(~igXWix_lSuu zime`kh_{Cs!>K919j%uo-VtWQXIOYS;WIix%+bX@d}x6av9E)_M zNzvW$(|!8Z=&Z=I#LqM8lhl)|y&hx=SJwGw@MLD4;27ro``KoebaAgBP{F3%(!Q^m^xq_a(I18S_W8DK~Z;X4@7yilXrz zGK~#iS{CfY$w`Oge!q5_v+<9m?+rG=%{ow01|<#myuHb>TxLJlv{#Pl$lqk98Gw%g z2g|PdPDhb(9%R>xt(^@mxoh2o8q+5B$(vPoUyE@~a;l;~JxsmGbNCQ0i<$>xqznnm zXv0XwiSQk+AVlb71#Hw#N2Jt?CC}B%Z`NnvkeM7Z&C?^G$9B8{!9-5stH#--b+C9Z z<5kq_aIwq8_CKR%23@ARG)(M;`FaF$_4qnRq?u=gpLPn85%`Q56)n`WLp9t3eC@#+ zF47}V4I=@bxKA3)4z3JV!$z##9_-SydREZg3efVsiSxl?Jr33_ye)&vtwd1~-M@Y6)TrOArMmXrhwwqcK6q zSQM7T;9_l0c438H##Hb4C}VfFYo#6mUAI}000+EP;_lTTwLx8_D_I)7O3#u~_xPM= zch<2)kE1$l;@NE(`CO3f$nlzBDz4;tJxkbMq&Rk77X)8>nBu5F@bdorXrYxD@{rVZ~I!kU4*dza+1(sg=W7l)yVli-uNK}b}`g=mf4U#pj$ zIvl00?94hn26)_qCBNs0Fu-?NgJeT>i+4|TV@o#Z8KIcbjSbtV2cnZUtX%9?aEtoh z2ie$-x<$q?hp|KI#u8uFGeyoW`3SZRyf4FCqD<^U+=O9}9&9Q%8#CMbs$Mo!t$2T% znJs!vk3fyX^MMZ+hpIIjS;>1t&k9y+R0a?V$YcBx27V!^_+2=2>Ym}~glN;)YpQ(A z*`d#HTV)>|{D6sm4%Amt-ho#LbW#1mFLUU#v5um%fT)q-!z=Ix{LtrTjeR)2p6aaRHYiwj*bjV4aoBk!NGYgi2Gy8)3MkYB$GHrQqj{gPhN(*Cdjy z13UC=Q3dyZ9r|}HTjSAVfIB^;2ie4(0P6MtBi@VuAnUpd2%)R(ZP|#kz6Y7-13hC{ za5@v1@t#WTt(*+cCNBBg&oLp zMlff*@~f@-YpVKdyZY;A{8dyNG^$lme)Uj)EmVIUP=DQmUojJ?A>XKUL1KG+x5%Rk53q6 zl!KR;2x4QJLe);M3RO$L-cx`5s{TrS5k9lBwJj~34I2CeWd=nt^R6~$k7P) zXd-CrtKp1rj2`=@obYr*8CNABnX87gE+w8nZIA`%pNLEVd7}77RiBmQtj>;RgW(yU z9+ulSZY1x|`3WWSMq z!J=q7B1QJIHl`=!vwn=zfaSUPQ$v(oM@6taf}w+;j#?hl5h<#*<(W|J_;pP*gc7r$ z#4Vqss)=aBFA+gMCVHr-Q>hMg2jBNs=}EqOmygkWR}suoFu3o22QwT=imHu^~wQLXtQ$SA9Wk^zIh=uWXRhD zf%JujW=%61q^;7I0TX) zRx|`U;ocqja@ITbZa*K?z{;*v&`?I%`Er_n;_HzW5#)*D9~q?|6aG;X>C7HU{dCLok~}PDkO5`Eo8#HG zN^sKGAV@Mi?luNOn1ot7kYZ3!0u8SF@Cnia83^Ux97_<$KkzMVu`AOoQT zj;9C$83>KO#UYS^&?BuTk`~B7D60OG1cBsG1uAlj2j_=Z%nvi5928~rg~soFh9Hyy z(59LkLKy&+E|^DJCW$wnh#Nxqg3jZ>tyWZ2ugn> z?7@dg2c`yu<{gM8)1`vW$MRME1*?4{|oQ>(%KK0jM>aPZI3RtkfK1atJA3^%(G(IEG|4; z{J}-dTxWXB7z#Dt-RUIv3R@$iEU~TQd2*=L_>OYxl`qP(XBD9Ih3aRum%rP&Mz%NY zBH!P!DiFdq_9Fz+7s{|aL?3`pussq8DF#snXdxT(($PYLkUc>_p}g3rt}y)n2pcO8 zed&2Q=hy_40m!-v903`CoE*hS2V?+Z{CXJTOuIZsc<^%4JWy=7UstZ;XlnLkB@dSsh4Gt!>MLNsBw- zD1E{2(vFk#aX(jmm|BdZPU0>)$D!X!RfxUQ5$gG+mDl89)>q^k18<__xvPl#HI9G` zK;Wgbq=PS?#p#V^?X$BS0U3ZS2ahoykO4?P+v|jY^g)`8ye97(_p&^A{!Nqti21MA z2?6PY>}`8Z&V%+uc{LaI*Hqs`ihTN=n*j)>piWstu_hSYTFOIEZ-ygA{4}DxZK#z# zk%*Pewhja2e#1p__JJ+(v>)F`$ycC+P)hYhItb|~NcBZNItn7J`XGNySWNkRyMJjw zK8x=y34)*%)38l4$~UjREP~e1Dk7JP!1u)Xn&DfM z4f23xEl~1A@ed_lJJ&#C!arpbQ60@7lNzlWZp1Vh)rNc@{bRA_J0T)PMyYzJ>Y(rX z2>OmzQD=EK@V(_nk5Lvgn$DptC{g?)qx55<;WCM66U`u#d@rsEz86+rNQRd#eO2?F z5RoFIEUSZA=p&d9T2*znLeF&!82L8kgR(4w*4F>XcEk=;s8X+Quki+nHFRE)* z2U3C{Xbr8ZIz`oB#DCfyMmPPsr~XRqp`;CkUs4QP-2-MxG3*!@#-8+FGcLx0U^DxI z%ag{Rmu5VhD5Ly)RSxUg2RfGcN1oU2s+NfVAk!~VQ5PVc24e6IA+Hy|^j>?CQ%3n! zr8q|Cfn{-!=f%~Hj5oU0lW$9@DEYZVMOt@`l?6iwK^gnbU%rRKoU}t1l1k(>O=B_mDA)ZFxv#_54;&&Ll%Ux0BQh114KeuQ{xUlBrh3ILw@7( zK$MyW0_RHW5CBpGe!TvWoYS_ZoPJ;+N`D}-lmj6BffJKzkp@VAU`@r^1c3Ah25;s7 zNPnP7yE>!+k^nX{4JymlXMmh_BwI##d1x;0wM)_gd4JagdBtr>PCq#XC6m%&q~w3~ zlpJ3AuY~gHJK;R>^EJ!lcE*3C4eM6Ri4_EM-aljUAG!bC$q4e@MKX-Yz_$|R^bXTe zavOEudzKQ>hMK6@5E`5*a*=(Q_3S(o$@|^(fXvV?`X+o7o1C4Xe3`Rb(%08f4jubv z05YVFSw;lE>`pVsBGkcez5j1YB^kfm4$=p)eKcG~9hCk$n1WwqmPizT%NQ?AY9ZP1d;Q24g}#x20_53U(c(*j;g=HA63w%@C#n>^Z28X zL2b5?i_=`#20PPB3ZNo;U2bF8{^vb;by$0pyr(L_s9HA(0I31UQ~*VT_3sR}5P4@v zxB=yjbokyfY@~7ob5RhG0SIvYbxK=7F`pd*1)*Obs=xkGeZhYarS6p3b_g<1lEhCtVEE_=E3%)?ver5nKnm=Eas z=wM((YeLrt5jhi5)*7V9!h0W`?$v9{6Fw}KQQrJ!hz~L_sfPU6M}y=`P3xgVqzZd{ zywm1bwtj~3Xv(V+%I&Fdv99AG?)N)3-k7**o%G4*g(!KV_=ge)9^Ixf;h(aJsE%e- z=DKxLjR)Yn&a*enMv3axOHM*7)SuHzzVdXU{O7zbC|TZUA7E*2QvyKx1E_(TetMBGy?o?SeHrDp@0)0*HXLjt@BglmJhgo%lmx^Kry1UwD?iCkT%04L%=vz)FLWpM z2tg==KtelE3)p%F&n!JH_rA11{$TmHD1EgKEjuUwTJ;$j<)^Pa%b~}~!{yOG%6~rp zj*N2ei@SZHYrPH;gfa*uv;(z(t!MD)yC>x{FT5vjfBzgxU#$c0otB56-X^1L+uy?m zPJ%A9qP0nmjcp*KERE0LiSDpkl!#JI6b!jcBB~1wu0D2SMfq-Airn+%c$7SG&`YuM z;vS7@r9V5D5g_TRK4lPy-V50W>8DY}=Di{A%<6kj~r2 z8P3(Xj*=%%?Riz2mOI9PGW$GSFGObVZ~l@-b{uU$+2I6Su*Ad-M}JWh0n`$Kq0dAO zR3AGX)Q{{sPwFVu#Pd7PkW2e_Um%x=`TQ@(rKV$t8svqSQF3+h4<%0BG=(Q36aFci zi0T5Q+KLIMK~os}snoCDQwGbnFHrhIo4@}|y5D!A0p+fnlYF5C!;eURJUYRE@{8Xl z`$8uk`cQ>{5(oi1loo2E!4tEfewNl=9NytguZ*(sTSlIEW&WJ-h;x6-DIb=gu3~#ncHq5HC3?+9Q-(BGK?!8`2(8fQ91VTki zN0K`z(G+&}7_MdS)fbZtK_D!Ipag0mBngE;*C&km7Y8y9SuJvp~OWdMGQg~uBay?g3=!mTv;DcbU@O1 zjps8q^RD#M&A+9_|N9rE4{|L0zOH#dr6}_jg~FQ10sar>5d)KKr2j$H!MBlwWlE%LjRS{0%t=)lx>eU~E2>k`c18;&BX8x9sFK2`i4^VjgW0aZ;)>z4YxGk2-!I9 zI{7y3_ASjfKLi=72ZRW&jM5(wTtB3ejXKr9bN8uDt4@#%Vid%1WdG}4YE+a;qY7wE zveAI!0Hx9n0{TAb4mGQw&_M8kuw6rFp|)xepIU+^;o+mzSbHdy+Kb`P!M&9X*tFAn zS4K(AB%p1dRWxARF76VKQV&9kQcXfjut_Tb5^O(+l|BV=WNecpsJZJ><3lx-8YIAR z>|S*P#u1cC1#;xAId!T2P%6dc$e$A)P!V-hU^Wg!u=axM2nO4>0^-QQ2Qmy9i6MM4I$T1s;-gF^0SYUYX#$n zqGVAe(4;5E_NFG?{LjN`ld@&2z+p_mW-E)J4kALA*FWhfh|u*xEQl0;zcmZBm1$ptsr`pN`%- zOc|}aM@OKy_Qxk%ywwnHFKECg*%7b-M6u;QK^qlOY^{*YeIwCZo4*-r@fO;^&eGs5 zkXr0-`Ij*mbm~WR8A#1#8Ug`U>iSPM5O5hFn#<5e(b%wQ*lYv345a2VuyN0rv<_Xi zDPR+myz}$y1f!PezYN`Wdetg)Tc;Dumv91pu~G)QvxJ~i3@A&e>6G-KE9oJ5FGmOM z-;|0DLM3cq4ZZ=1zFEyuf-6VfzeK>5Owu?|g7*seUPLcm+j^NR2g^yaTfhYZ5*B~M zl*$Py?KMHKgp{CUlBZNoEGc0in{kHyj2K;$WW>)RZtmSc^4qKepI)UQz@#1ki)dzP z4X_a@&m)@&n-Ib_qRw+^B>{P<|BqT`jvh5yG$X@OR}qJdmLL)Ffp65lel6M~k+5BD ziBqp(Agv*vo`cD;0pAml8wakmhU%3c!-|?mK(=NxKcEAyWSCBSY7k3e3xXszte8s6 zFy#k!kCrALA+LV>ktDr629lx@PAaA?)+-?;C>0ByQdKGzT)C)rpruWGfG4StYe;e`THH&ZSyDs@=^GB&R9d3 zhHSj}*aiYNfG}xgqiAf{oNe%zT;PY%1mv|!ZCNR1mJoO7Rh1j zp`B=rW_baPdA@%njlgoO>5|FvrU=JUWXfto~ zJ`G_hvLGz&|G@@qwcL_7G6pG6ZX>t&$@eVW5P7~U9l3$jxFK?~%HPNUq&_!O*bNQQ zxSY<<@Z@<95DEPvkc1dLIinM9R7KMs6TAZiu+dAAk%%>T_#0xvPd~ z+|ULP;P&4(N(vgfmsQle^QR-NW#wigEl|2w={yq|fqcJ`hV1nW^zeU_f+d0kE@<85 z#$-(iG&ChJu$dAp@KUC;!Uu<}M{|%mC7744{Ig!k3P2@ZFPK9LRjHXbO51|mL83Py zW#*$zc$J$cZnbj$WOiFRRX@O{-9C~ko%j_by*t^nq>HL#lBR6*WLvheq%KMlNNS~p zw9xO-YX`<0G(ab*?4=|0`35Bi57@vR`Ys@~zDvk=P^(6sL4y5!FIWg7;!*b;UJpnu zIuLns_D{Tgka+np8bu`h$O#QWZ|M+l?tj~Wt(IHjVa5%%v27ReOahtrY$nY-%t9pP zzHHnpWk~U3oS38;hgpb>drFLS>T9Xp5ND8>&;w5HjCib9LP}677Cfb@R4n)-X6{MG z;BnEjl1rzYvMIq_vEb&e?e0mjDZwPO{X>vk`L*3& zQr)?w3CObFh0<}$SwRzwe@Koks*w&ePlM!2IB8frTCaqZpj0d@=4whNxpLmeKcrG; zDw0MEPl4o0*kbmSLo5L~bxCEKdYhFF8^bP(%alO2x~cT518y^~gbuD|{OJBtdg@jS zB=;90g-6F3udtWSpXJ&htq{-@VlSApBVYrFVryliB8n|X(&s_c9%*iDv;VWyuU!I2 zE5u`NOXKI~A0?2xr+>9V!gDn-K7Ic|0(m^yfp(hDE>bxmPkh_zm5>sYOj_lkXb^!s zQm(N)PMoe~{B+brCikodk}F~E@ACIvI0v}(d>^h{wXL!->|P$SWnxp17Uj{6?xW$)K9Svtf8)y;gBs>1Al(`lu|m>D7c=gA5=aUctN=0#GGe=%29n!!yu!HM9Z=agHg^!&|E4-f8wjL`W)p+? zYhJKX(xQzXQPSE#GP_kVwqZ4TvqN=|R=L3rxSxM5LqP6s&$cOD!hUlMW}9Js4kELT zHvg0k7r21d6EB?-t!hss!#?1Z>X_(rdUl%Qmir&La~ zlz5RDquE79v6;s=hWQiNd6Y>`7?Jt2x{%T9^AeCTH40cEyByn-er&2Y$hs8@WQtP%0J{b2TNC$jgUsL3?#-b5eMF1gRF(8)R1KPEui_B(Vj7 z6-#0bDJokT!c6Pg=0KcrJ;;~GjY7jep)E=FVb6nBok8*pOJPSHcCZC6fdntXZS~=h z$ABT|tCP8s&{tN_*QCMdhZ|p$Kw4X=5J+&Qm6ej3fXo6ZsWr%JB)fw@&1gqF*lYbh zB|3qmsD#Vh79We3+3z8Hh(gLK16q)pQPs%2YP~>Ol-IsSqmoIzQnBDlFjG^4`QcG4 z&-rirlSkt-r0-TN181?x6w0)*aVa{=h$=-Ji4hJd7oExb>QgS9hPp4cJ#A$^jJFzxS*zM zsU6K^M?QSN%(3??%ASY6kQqB0gXDM33i{?HQIgn#(CV9)){vsIm7(cWb=lMPJ$ZY& zE=X%DxtJ>e+%F7w^1cC%%-ds7L>M?GirtJM&uA)ERvwt;{RAWT}> zC>k3!=N`KwcZ9ye-6X2Kjv_k6b;21aDsel4d5dDgVoXU}7S>xJ%km?whKv zs&+I?JK6+C%l}~(_q3xtw^Ut2?P#KQv`0J2fTOK{GIzjhRsYBn_*#`o8hV-CVtB2( zzsDc=TJ>9UiYws_>gIvR@U`l+cUDSvf-g&TN=ONBQkmrD%88cp{8Dz+z3(s{?U%7@ zSg9Rd){b1$RkWIRlmthIt}}}*+R+2;DBoQbZLA$l){geEBeplm)4S}Y$OKyS9*S06 z%;Dm9E7!rb7SngWky{I=%WL?anW`X2N*ifa?^}Yn;`yq*n zdk!)RkHShBinn%?Tl9(msnAxD(6WUQVKNH>Hejnqlw54s98NBa-xrPc55@0`a2D(# zCsg`075g;+3P>d3Qi%bYz8hJHq4XTZA~Uj(v-XGr!Y(}-Aa-7jvi0;4_^S17oB*M zk%B#2Zh5)MAQx})$ERT9Hm~@`B__*mR<{UjhLsZ*Rkuh87a3FsCvB=~-bYSCY@c zs067g)szZoF=r`=nv(I#M=NEpWlcR_G54qoC910-W}3YUTM+9N4=qU6WfCojnj1)1 zKoOCGuUR=K4~#HY+q_j;kTBBdT01K;+_Y8d@WK|d`P-kQpqZm=*xbLfMS59$v<)P0 ztu502gSL=Hn>I^RT8*(`Gs%0i)byn-WN*?YDgUgoHf%C)ZW%NguI!gDX-XX5y~fWG55%Ve^$U{wci>(|AI*?39GvBi3O|9zrNYG#1WRPzE z^r3NYn6h~_KRC&*gp>+sNvWDMN$+|vhxHlrRhr;2M2^bifUAaT%H2Z;%MRPKC}CmG z6ZQGhqgu-1)dtD)|H`6lvu>c=ek{@F2`hXC=9TrzV?z?<7u&NaKVKgp?`vStrwof5 zAW!}~i?Zk1{&M4FzT~M!371HXcY;Mv`DOmZPh^m=ZmO0NR!q&N1aoiDH@h39FI#Rm_-STk3Q^sL!YwtoMG~I z$D4ZP=+{H#Q7f}3x3?K8*Q&r5Uezc)JUm49yp% z5|?phj)9chzOazrd+#^t-r8aEiU%h^a;0*D%1i6m(yeMrc9LBQ=8z4f#5;VzojrA{ zdrmYAJN}v6sIU+uwnJ2hKnjN$PFZZ2Mg|)_qU3_P=@H1K%}H4f^qy{`oRm;mZl5&G z26BuNdH(IGHjvMsCHX`RTS!<}Np{#c#fDAK6Ap5emn~#@h=bgB_+%S4mll2{t8PuQ zf#m-Dg-j)?O_OVw7TM*ohpEufs;1-Ul+0m92-1jZ$O0o2Lx8&FdJ5Ch8W9byh_r_o?dJaR>sSb4$+P_Xh-SVk*}AUu7P$mUOU>Q z9X->Iig~LRt+k`M+R;(%=o1`uEX67t><$6DUZ`7J;5b7=*e(;=z7cmzv8GW?u52nn zQJHni3QHc=TVTNM7+P}c%`ckdVHx-Tu#4Pt%8;6VIslUQ=+F5vhKdG}OU^H?5U^pI z|3Dx&B?V3OWkn2g*+M?7tiqzimS0F_tfmA(bWd*ML4+wk*8EaPjqs}$CePnPRNR^=Sd8P_2a>)Zp(0dA` zs0c_KR&ZS^BzG|1h15NL9u{IE}Q4uDsY*a+al_SdwvD}_Ej>9Vfsj<=! z;CsGl?JNjr;Oes@YHmsj9@GsBvvNMK?t(TTwQ@8B%DKC?R~7^`P)>G4%}q(c76D@Q z^XSj5&}F-RX=`!W+=8rd(12sIBVeNHw78bidMR>EX}!`o{B}am)Meb&)x^~$!}W;uvf$Xu zMVqW^iCYjgH+5}tL=wxfa@tKBA;0Q!nZ(UV2FdS|hLA27iITzw0yZp#6{2Xg+@!|L zM_Cv1My0wgxVq3dDwPwWSWr}ET_#*JhgB!kwZk|hri~b^Sse({ zLCwrVH0afwMb=gYw^*Q3Z>Tl&u=Y^Rs$*LnwEeCHT6kokwbiJR!!)Z?fjX#`&u|SQ za~4=zdH!U9qF>Laal!1qr|kF@zmc2p^HGp=+;jHB;1GOysX`#(^SLY#QxUMr0x22k zAhtIfi{hT+PLqbu7m-bk{{)HDEXci?s}W%`3j#J^t4EYv^frn0pq!o8csY~qTFTK7 zEQcXlZW@B+Fk3yciRVH<0+LyddCi#b8DWkmAiFkOXHl{fGUvuyixN&CrB11uE1<=E zY?D>wvHvTJIi#%nWEBY*|5C3sP5ObfeE34IyjAc=GP7Y8<=S08lEXh|>QfGEx|+Pr zmqi)-?`l$ZT!ua+)SoW@Jl8AF7FtUd$7fM~zk4k?yMsGqTNCD`^5I5#`S!(R6mbJd zHDy@iHK=6LqJ)%Sp(zzJEhVQF4CK;m%$X6N3JYF<}X~^ODhglHNfK7Hp z%}q&xxv{SxZ`r3}FkX}Qu2n*Tt+MoGa7(QMS*nB>Uv3ap%jH!)ZaNUa=1y1$OX za%>}zo07sRH_!m0QY#0MVf9*JIkpkVO-W&u8)yJga^*9 zsv)x@Svdtd7r}C*u7Q?v5K%M)WOf@U$2J1xK(3liNE9olY+4O0$7^>zOF4)r8UixA z4U}UWfpQ>M)y5|VAN-YEdtgC@-TP?;DUhNfAZ=JdQU3u!S&*@6MORj4*A(Ar8Wt7W zWsX)9M-&YKncW5ovW-AFkgH}B3_m8d+qn_T0ht|v+~Rg^%YuLga?6gWxhW}lWF?xt z99>#YK4^d@w$2pxRadxIaSB=wRSQyS#!I3S9uq97MF}U0y{arsE5q2nK%LXbMyhww z;weNF4FRcLn`Q&oW*dQPgIqP6t<_mMJwu(0#~q$ZAU6}`XE#KKg&08d9|+heDR`Tr z*{HcOi3r=*`mZYNq52K=uZp4x7gLy^0Sy?3$kx|jQGas0QzkC%pW1-+CO`>``zs*T zDIp~Txl%dN%(3IJHIQYTROh$^OQ-j)dkQ35V3=jBDnaHyTg9SeCzw)GDrTAzdc^cl z7nZT};hM%=?imuuryg&(2N3Z+R1Gx$fq;#YB8!ch8EPm+?v76eu-i8Z9CbQ#i)9oaRtZ&1y+e2X7>@u(q49_1R+`i=)8Oxi#cTPp-| zQEec%ZT`&lqdR6`P~OST0^ z7#Cmk;zM4O2tf5 z7B0r}tn{&=@zvc!R^@Ee_ zN=T`ImXxYFljwcrJ0s_nT}XsO5pp4>EBUgxh&5E%(E=S0FKP`9>DyVens-_UZMe`$ zgWB~dW^I*T-U5|m8v@`@H2kY8-xVD-tIY3pP<^8X+8a^A+G<>%4w}`ZGdd{ke0vRQ z)U%|u)zfGTl$R)TmN<<%Nv+ALQW5A4CatXi0!JxHY(d~dmc$xTRQe1{VT=1}?-0DF zAn~5U)G0mm_rBqfglEVhMMXf`u!49{Auj_9lIpozk!>W7Tv^);4^DMzHj~x~Yezge^qW z?LV*$b!Ytw>fV&Sdc>m|+R+H@XsdSgw|11jnQGBgJDRE;9ng+mX-B1+s}>!#qlMbh zDeZ`~P}7yyj{0jyKWazUwIk1#szojBXq0xeT|0WH9TogWwP>y#P1lYNX-99gqcRDq zMQ82kO=nL}x-P*hfV=LD1{hkYi7RMFiQ3T`?dYa<@~E$QQDvS7VU87Vr<;0DAoc`{{}&u?2w@OJWTv zDt(6hqqCNwRb|&lF7nSk<3Zv~5FZOPe}_R1T3GQf2DXx?&HO;p zN_7B9w?(l!1xh;OEm1(d5>hgdE0q(?9IHY=D642-d;Cl;8B#FGr?Y6tmD7&0lEMZ8 zHY|k|qG)uvd5_lya%9mR9Fi?0UV)BZ*kVeB7{xIa=4t~1DsK~DJi&3LDmtcl{>L0$D<+I(FW}(9gfa)WqgkOwNNI-rxTE`iqGOXAaeWuTn%9< zY#@*#yA9LmbK9E1rrY~=W+mcL1MO(McC-tQ&h=!xpJ_+Mx~RI=+RYOa8IBNjeq zFVcOcx*4vWm1K|wR`B|R!206(5CEwIl$5ef0J2gnd9_OK$H))+eVV*}O&`+p=uD72 z40H&jn6++T7KCZgMvo}D=#YigSTUo!mp0seG+^j=1rT6T4}b+&>HsAR zodrE(f}pvRB(Ii}Na~hkkTlN3ZUiX3y7xgPlUxZYRAsi5N;W)xl6$kCJDO}|8~xp;PFxzr&q<+`_BWRPXky=Y2j zM!Kbx0Vmm&kWv9HDOGbOL0Omvv#N#>Snc{V49kccnVg=6Fb#&G9)TDH8<2VgqEtng z*Fx>b{sE02i-LF{_6ZlQ!Wh^SjAsT(C_N7N0OEQq?j zle&qM1SuY=Bo#n)^MsBGSSWxrR8- zXFD1`KTk(QdG~ft>@jUndh5#)muWAeyz@$KeBXrPFSd|!`)bgYRlQ48NVemj1N`%# zUe&x~BJ40vrrTB5R)~ z{SQ3Hxn0bpbQ)wVGzG1?sS)X&@Zkhy`ogeOPc2rp4&E&PI zMW&A|elyL~v$IeIP-&pcT<~VjyjMsTuK+#*F2ky+(mt{6v|lG?>qdI>SRpVq|6X{aTyNZ35cn4Jicn3q!PeYfq&Vf6a zSJY1`4ZMS8k=eexlLft1wuX?mR08O&VB|C@V^j`!%byCi5h@MzR%vAB60=UwTa9W7 zc}pdL-YSEf7Svp!dCR`2?O0oglS+X3$+WPJx2wN|ygJp`KI1^YTE5lqI&ebk0Wi|!aG>Nn+iXQ z6{a^*e)0Au`PV#yUTWrD!hVu+4w6(EAxZu%?nD3Ur0SdS zj|ai@ujV5Cb0e+ULMSX%8onY6=hPS%D25oRAuP z5ZmiTPg*}##A!~n$2UUER2sm{-H6O$VxLN0Lk7xh4{H&pIny)oLevx<+-Z(T4Zd4_ ziD&QQze_2zhl@Hbx2V$;9_TbzXLK6urWyQ(&S~Ub(*B2r zi{L}UQDG4f>s`>5f3=y)w(=C_Q+V*Es}558?#Hom&C!3-yAws67C_@B2{}!rfsb$grAm?f z!*D+=F=lM}SutiR4P?eY+Iai%ck*Y^W%O`o5uX(i9c`&JklA3on-d;gAoq%VFUYK@ zVC{5LX&|#foLRv;Il!!#Ff)Y)G7CUv`)g(3S2<$MMsd+NQ)wWxfyk`clH79G-=cT3 zgs9I{8py0BGMgE8U*2|kj9^n+Qq*TE4PeGTBgx%UgRs zPr2@re`(5JCLfyI&)duXhc{cPU8n(b{+gI!5@z*Bfa$=F*!xAj3_;o3)17;c*f`Fu9p*Tvjs&h((AJQ)yt3@o%R7ebFNam_>^Ahg2HKEE>cAQ|;+Fz${9XnMwnh znUI<5vQ0U_jO{)lHhn^+fnnCDA`7#{D-RoTsEbrykewsv!aE@m=(bbAXL7)8Y&N-= z+f*9pwz_x2%vn9vI>l?6PT*f(bJqeMF1v7#OCn9;1`T^R4% znK|jwusMN(PsoabeQqa}23Ex3$n5sqlhQvsDhLkED~UF%Dh*`T0GYMAUc8#cveNUE-F0m^&&kukJZKYJL8Q*Yx@ZP zc2-r?XDSW6rIC0`%hb-yf#!>SAtTm&sWcd~qE7FnFx(VP?DxECqTW(zpttIwxALBj zGv*myMzCsD7icu@PGwWS6c$LVJ`x+`+uT@_mJ$rG8Un=doxe&0nGHZ@O$K)&&$i?f zY-ekV2AE0%nFS#;zsLb(w6o~VtR>1!rGd=&2X*<}IuqF0OsoRb7QLG)4P?eYr2Apj zP$E|f5u7epN7QF34P@32?`HKOT?uT$Ddw}fqRdnpz>JO^>+MLJ%=9j6U)QVM6p^kM zEkDb`0G#`019|EovZoi&PqLi`@CwYVX+oXYdV} zV9z0*3Qmhtc<|S5{JS^j8tvultKSR8bwkm%K&63BtBo}dRQVpVca>t@twuRPjq%`4 z^D;|(3^?+N2TFa&%3Dei+!u)hg}^+L%g|YBYI|`cQN;o=%$JNSIO&U z3O7|PL@C{&?9SCiO7KeluQMkE?_5jKJEzjXNAJ1$Y}nOc&O7&VOkw zN=@OxpDEuWwVQ4;<%C&%1;>7D6D+Y87b=Y=V_5sN=uC)VXrQ-Dw{;Tv#iOk#DTN1j zR}#8wa;=fF*STSWBZYRN%v2f>V{XaFY}IcIj|Pw~l`aWB zYdVSkHl)&E%zS+c^Uv@2rx_2|Qe)E4p%P8pCQ>Ck(|nF5J3m7W{lnW-=04eMeCs?y za%5BIjg6CbC?NY1r{9v4N zZ;u2s{^iD@(blB-MY9i|;|t{~=WT z+TGrb?JvG34c%jJ@etm0+a8gt??r$5-QMCe-*}tpfxX_1?7#ZnH2JW-#pBf<+vnZH z{^I)xgkH*dcJ&wci#WfpKJw7OM_5B4xkKKDSeS1hG^acooUMGn) zJJJym=O+q;9#%ZpUqzfhP$2ZM_`JV~T>T({&_m}J`(32o4;Bc$PQBT^4>>9ldP4+4 z51)7yj)^#bs6gnkir3x#_I8*+)M1rxdR*kfhYLg<`n}x=k*G@&h&p`O^*kwZ_1_9a z9X@RapAsp4gh27w;*2>hQv66!=TAK&Qv4`-=&rpT9d!P@v)&EuuXv*cipK_T={b?& z#|RY9uD-MV7d}>?WUO{%*Z-09A zqIX&Q{W4CBi72LrRtJ0#9RE|KHcp`Jt_T!wq8BfV6hBd*_-)Tw&-eBf zk?zMNf#R`F{BxDPd_1xzzp+k%&4P7eG97qLT>`**v1wDDoJi=s@Y(x2`Kxi7g- zq;dMt^VI#OcRuI2c|45?4vz>ed|1SN)99R=_L71pz2jeA#*bnDP~ThL0m!L*%O6EK zO&5rH;1t9--I%(H7}@V=h8A&6n`ghJZk|EL_jOWf$GajAi5UWS6#A%+)k+$dj9fv3 z?|A2P0!lG6whB_3DM+a#qjY2c76R+SkfsT?J@@VDgMu_?3DjEfoD-wz?{I+7lt+r| zS~)yIPWHX2a6N19n+lZ;s{~eT7FT;G=%G0RPhB;(Vu!w=jqiIGaE92rHql8IY-{Jz zhkx1ISg3v)nP!w}+XvqHkyPGezM`a31Vbr^h0@;lW*J{OH2bEJ?T~MktAr@g?*zRE zt;NUKVsyaY-u3M7OwJP++TrM8$Um$@5kJ_G#B{hT7~}H=VjLR!lB*5mM#>$6FS(l5 zY*y1oOP}%Lk%9hn#yiMT1x;_*kp zM=wRLekC3F%HBGMEzXNqBE_fD5wGnoKDXISKfV@m{wje6k2$}q{Vn|u0wts0J>Q8m zwLgj!53hgx-+MQxwP zulPx%_;muW1hDwppGB(QdV#l5c<-Bh5qTT6LEv2+c6Zu+6>X9PG*0LK5 zCfCs5NE%ndJgQ4@!G4k5^q8VjY{DXV>b- zrR|e@2)c3`J-f}`z738kug!cXh34x^pShX}`Cr$AXiHAV9?lQSiZH$aR z#5d8kqMY_G@&~E^(HrcqODD) zuvoiy8rb7NGv}Gg+xO}Nf0(FkHsy26g`ZfgUHU6|xxBw%TeEXV%yh`%py8X0EYpmLt$9}Sf+^tnRgqC|Keq{)RvBFORSbShVK zq$~593b^u5bbdNoSUw+18*lM0X@6xqCJ$#CuZ)+;x#))$Yg`x z1Y8J%@W3k{2@5-d?>U=B#8}r`&NDUtJrdUFnP3$DE*yoU5}f2!k1}}_@+}mv@4T1; zQFv6qh4@=R(_6$6gc0SfUC4P>TktP=;gg?+riwIu$3%m0bCkQ>d21osq=zZLE8psI zcK%z$m+eEu+CKZcV}A%Z5UO!dq4C$qzp2&KAk=-|$9YyuTu$UwUg$ylo5JJ5L0DkZ zuVipV9~w~1RDfm_FgZG%=(H^dV(^533p=s*2#%lkKn&8*;_T_cx7sN3WG(r%XBEMq zJ1H7;vt}MA;c|5zbbL?NF~|0Z2i++FkFlUL2bN8Euwlsw z2i1eR=8yBdbmF+7XoZ`Cy_oa#YiW_bvs2%d&kge~-J$0OnhNChK@XN(U6asrn@j=| zX)Xv1$Drp-Q_8a8m=E1tR%AGKQDis4{(w8ka-{?KrbMtsEP)`{K>% z+rAcA^>YIJae)M^Wh-WT~cm|%4^k%3jAEWU$RD{MAVQ<_IGljV2 z!5aia&(Mu7f@6TIG&$VfdJPpQhz&hIZua9dqZ`!^)BUW-iB3JxMczE?v|s~tjiyG~ zOBAY@Q^!&xauUlSpAq=B-4$m#ORRG-0A{e=MgkAXCa8k{ea2MuB7xw>kzhRJY7^aK4&{XPc;2wju4K*Cna?tW&c3$fk^)Zcm>VM6;C>@FUP;KX`yS|INQJ0 zevyWE>BUBrg-rrmW&cIps){%;xa^j^fAt|o`cEz?VA+m>RLU{)_d9jvi0+1tg-gLt^P-STRpf^X?oryvg}ov z=vNa+z@q8IiRJ0otYK7Si0)SkzW?BA#|-lDuMHL_+xNaNq@1aWeU=cqfw`UEkc4FA z1F;sm5iF-!qNL)qe7p#Q7b1>eudsp{gQ^Wh z7`znm0mf#TMy8@}C3vk}TH5&JO)1MG&HfO1CDLWZC)+{2S zHgSrBuTz8ORyn->p(M_+gnqCsZ-lr-;<1?DYQc~ zHm{8@KATwGmz<0}lM|kNCmgMnTGF3X9aL3)L!;}R zah^L$zmObb=86u@J_^3%axQqB~G5h9b8#-y0GPO@f(2B*l@;dSSFV~`ap2H z-4{V;2D#T-D=+EzP?>KRuKNXw6D?fuDcRoZmEd^ct6)Gn%{=HX7cso!GoQ+!3umWp zl8;sso%!TILtBfCWP%Dgt(TYHR;NCN>wduCMB}=BPj>uyQP71F4QM4o)G2@JK~gmF z3ZMB@7G3zb>8KotLL%zIgU=>eN1<-kCnxG1xq)12;VJmMktI5=u)Pm%*2OkEUOq`` zWSIE7|6p`s$+YQm_l2TSXrO1Ch}_(uUHPZThrei#7TqJ86CF6FB^lh~wO|w)MWe96 zo{{9f^qxmyIdtLm&#iJG3LOQa5a-`5?qVH;x=Em%CyDDqUW{dXN(;^8aS{na>^=6o zH<5JC$3l)xz@yLFve{$(-}cCXrqEfygV+K8+P14zQy8ZE={W>%b*Jeb3(14Ji}TSBHO)M3I?Hz za1bv0Sd|pn)QUHSVd%p4t7_&z6uJty5Pku5bgBkHNIUgpa~L_Xlpjt?J4QzeMxC2r z)EWBT$&hH{KvRGl-&454s|H4w-z1&X7>rJvX5BOd%rf&Pknh+0{qK)*%8zW~gqR-(bb4v+N8P}Lvn_HX z)9~Wws)7xnNjM;9jWQWKH>$xK!YJOMx$v(cUxnv_gFCjUhTT5I4o&|zhUu4HC}X=Y z-N1zNl*=4895#!7li?#REMsq{8v8R#q<;*dKV{;4P`a`Y>(7+_Z9+~(qOX7#k)1=w zSrCcz?jZI&iN^bT;c89!Rn2b&+d@C#(5p0}huk%N6t;z~<&j#4{!0u48_~IcnFPMX z$RjWsfk$xQMQS*i_Ee2L-C%^%j0m_S9~nMZ(1UqJBk$Df_i~u`e2hG|7<6FkfgSas zXW#4W)GqeE2*=N<+?S|h7lYAA1BS6D zN#);K=0Gq8i1-k`%^*R|Xxq_j4rfKYy~f^qUC1m!t zWB!~9ydok_!$C=x;?{Ae8*MEX#wj3i|LLmk+Y; z`!xIMl@N7gsjqIbbu{WmB%E$olY7#O0_^QD%&WAYYDv*>bYAvCCY^VEP~P5EMPC-o z=WWPLyD#`u4is@C4ob$l8*=aYSLqst>INm8sCmaQQsj6 zRVA+R;B&2p+&q4NE(brtQAthpBa#0_;;fc35()>0E(ULbxh?oJYpH)_%K$~cE+1$7 z+n!#eXtIM~6qXf@!nSkgka_tGyiu%}K*8=$OAvUh+2qvBEnB91@+DnA&8 z>P9G>XOSfjq(L8ch{j_%k$42E&a)~yl6&*hl-95YI&n_b^K$o{{|J7u8$vVYiM+L= zjnA@`M<5XSju^TZCY5+-`GIWdk(%hl-u3Uu zrH4<*iQlio1Uw1->yi!rl9zZ*;S-jc>P9G>>%9v1LOla}~7L2u#8u&4Nhao4{g^S7wKQ?aY%$L_|svEa(vfxL@zn$@mYWv>- zu(ce-W`uwrhb6nxu?yIWOm)zW^-lMaUFx3CiEu10;6~^wI-VIIkGy}0&Sfk8DePRA z_m{g==qKp680tkunr+YTuP>A@UP{cexNBX_fg8U+niCGJAn3r7%z^%qCzR@}8?bP$ zu4^~Sg~yE$bZ4xvJMUkVQ~bwdac4brXMq{9#`>%A)vf3|(uxA^#O87Q%sAuTDlv*b zbwd|UHuR5yMsNBjb{g%k)|CXSwX>^%8pnrTljw6-);!inKc4td-&ooZoD*SLS-_9% z$8Eo2OO}T!KGeo1nJaTR>&=Xk0 zr#ekZwfE*i8&kT-;L^shKJ)pmQ;n0F=_j){M5^G)y?buvgePl=dNPoCvUH1dJ`QXg zs(Z+Aw%}Oro<{^7TQesdJDwc1I9B&dXd`s&+N9s5B{NG|`jGaAXe|-PLL|_T)u#MX zBO1S2SfV6lVV~H+6F8mmA6CM{BJ$)296P3Wj~B44}J6NwS{6_EB?&%PgOg0Xv6ZYGB$4j1gp)faWlzzwDl*QUtG^+pXt z>1(vp8dFL8exfR{NaQCfI(sAgOtmT9uu&vZ;zb>@a1)D^X7t!55f%*vx?I?pzz0`C`GWZ z*+kSM>06mcT2QZTBKM`KK#1V??q9aCkC>o)r7w`$Z%J46B%dn)#3f|RjtQ)Mfq?Labaa0RYN0s`8E&uinI%@Lv62=or>jbA8 zwiFnb;P5yxxwNr@X_MkD-N1zNZ2W1b@$i%lSl#Ta`YV30x9Vdn*eK0Z&@BOd1|N^b zzZG5YErDk47U@Sg!N+5l=lRi2yV!znoY}*@XG#2dJ}J}w&~HV@9TQ@9sN_Fnf1ek8 z^|n^%voQ^(=YY>zi}}nPw0$zTOkHL$R97uI(RjyheRDJ! z-TpauSsQd2d{rV|Q`-wf9dxyW*jFXiQKx(1$%!U88OW^3%>)O!9YkH&c(6bD9PkZa z@2)Mn@a&CrgKt|;!KmvfFqVX<3yiyEc=9$c|EZu+ecj7W&hw8`e;CI1O&1KpPNE)M zSw7wH!tntHVeWS5!73XhBaJ&DvOaNV0r%nZ&K_S3OCmJ)>E3a2l12NzG_-3|KrjHi zh`R6NmE6YDuLF1hwnz8Dtn?Dz?t%f>RltMlv~*Z+5jUx5RA2Xglk;4ZyRKaR_vwNG z*iF=fiNiX|>pINltzZZAAWW(*F6_bX0v?1OloQOUh9}3@qwI%M&a6)DI&xBYFF~L6 z5cOHWjt=s}(|yrr^v+>r>aV!VdJ4DVgqXt_tX9=l_Y-p`^kAKd<%r)WK3U7YfyM1z zA_EJjfQ(vX%0dILu$_@pAvWJq+p>&uW_eYedWZ;&wVIeH8=`}TR+io z^ZfKxs&cUc#7nLC>$C|+Uv3%Cs44F$2efmY$B8(wgp>pqtyN5&oCbF%D3#&@G#BOi zM@qQMsKbOd`^UEf1>?^-ap)K6kC8{Y3*EY*3llGu)X&AT@8*Ua61llSH4{T|78X7+ z8r0AQAm`cAsiXY&@)y|Av;VEkVD+uciaKos=F?)oz$=l9$7cJk$~M!^>*Luuj5B13VfEqKc2|n5hpS|WP8&)R{atiG;lHKT4_fglFAa1}A}4#` zxA)S5is6D!mqK9SbDAusZ%LR@?g{K-J{A6trHLx(=RSyTUbbO>t%#{p@Wa) zt3-wk^${G=jS!8|rpYnn#xQ^G+ZwvAA?NwHx+e+j8YCK{Bk7#mBF=U49vem$eJMk~ z++9>eME&S6>kP?ri>(gM>JZUCx;fbrm9LRP zJHHe3<5*EYHvIM@nbUZo;>W(|$FK$t#Is&$!780B+Fbs+?UVG;FGO*nuDRqq7hftv zrpMJ1Y%a%%y6~_^Bl6)!T?s<5u+zmqTad58HF6>d#|sQ#;CWbT-M|iH!13DrcdqLC zMWp)St-f7QCcip#5^WVH(5nxGTE#&hYms46SK8q(wv|SIq;{a(DDt2ZTZ&A)Rb&gc z+r5AaI&$90Nu>Ez7uAuv9}GF$4(%j4!TrWp!O_el!DqKqrCt%Ti^pv`?jP2R9Dt7e zpy^Ipylx8xpD)uzUHI5&l6y)kRTt{|jGXAT zS-x`qxl_=E_Rj#FA<@3;yvy4ci%oX3?_Z)?%4g?Jr(d3%in$F!7aCnRkcauwa-vSp z6!qivwL3_{FMCu!>iUeFZ7#1T+rmy*Yxp2i(H7#;cg$-JC$ z6W_r&MY#LKUA2D~z;*xzu^7nzog{l-FJz65^p!C!Ez>sOxQbme{dWuMa*M7ui+ z1pAH7caJra|2WMSjYxjSez+ZuuI$+5jC6ilF?>$j@5P0pUJT0o2H(rk`Kq@FdXe?N<|+q&ZUD}k5l6z@@xWX&<=FRKR6pv5F`RA7ek0`fw`0+d_7hzq8j()>efG$k?o?jz*<6gS zc*ma9-*}WXoZM10Cq8XjD&j_HAA<&Xg&1P%mXwwDQ8$Vifp`6DY>eS>WzpptmI=nA z^P9Ru4MVC(G7WfPDnbu^V0(=@eihkkY`KW?_Cl|ai zmv$S4x5zJJy*z__%881(QrIgV2D863ik3E*!|d-MrwaD$sGreXhNgdl>UKS!VPnjb zoN&%65$EvgcC)C#|I#zH+0qR#M&rHg+q9UWTsi!rRN&*+9|Zm9RDD4Y!@Y8MuxGsR zE30gMoXlnIf5H8u`hr_*!6@cKGi~O~0&EN&;4Bhgs|6iI+vGBraUYAfEWqQf-1M%W zOjD~edPyZrUiLq4)`)}{O#292|3Qwub)AB^_b(6xdNbQ&CA z$1v~i2R`;nMn^s_xm2!y->Ro(KfQILua6o9t&;bS9?#!>RM*Xr=430Srpk@H1`4`y zy{H?_4<5+GdkDHw8b>#{h}4P=0$zh*E2XaHd~W0LX7*d@Y!LU)MnV73uGM90isI^ZUQ>FnU(bo@K z1snxEi9l$d{L9Qz+9zGVos->iBUSc@8G-Jz@0afsgIQn)ai>WI|B{cyjK-FUo^Uhg zmQOCV+B)9;wtc&R@1Qo8WJ|ioMsHSJr+e!#$x=m+{wWxFJ94Co9=ocqqHdFs;)&Dw z3@#6IWUacNMcsKez%X*WvQ%Al-OC5gwZXi?1`pqdg6{l9up&DZz1-Ds#P+j>)$wb`lU$ZER!m0|25A5Gyqy@wP9A!`L;1)5&AH1( zW>MG`Z)Hikt&n+kz5mHRYkB|w%FnAPyWXBBicA8({qbBz`9GcOulN78{05eQc=;(m z_n-eo9)JJKx!!;OujO|>C};im|3H41bnVqn-&qYIGY zf2(LGVk#Kh(3hM7@$1t;V%#8ZjBwYgspkz!^Yad)EV#=L zEQRt2%tuqh%yaU3jm8Vx`d2l`*BXwCHP49&Z;!=>^zMYYy;IK@hVXNzITqYHcQ)-W zl;ysA4ql|f+LC)-ni<7%znO@IYuwdymHXCI3vQiz9?ref#f-{$Xi8XVVmMXgt^HmL#)N{o!Njj$D^h2z9S-y9=B>VbmEAhq-F8u9aAW~eyrU)#LQC8_yVR6q{CbyyDXLeI{z&Otj@ za(LHnxr)=<%)sT{rz5C<946OgIaGX_IM+G{?Oe&B;)Dbhrw!||9QIx4jS7bk(ew>d zlo=KG0TszZ^CD~Qyly>ye&w!)(-jTP%REZFLIvZH42>#nbk=uan1jQ<1rt)Nsc7d4 zm3^iASa4REXopk2DYR;Nvy)53k)siU%LEy@@&o-+ zUMa-&(}5K{X<&3R{aD_-w8Fl!<>mw7gdg+Z9n;Q}>hkkneJ!|k6I&RYsj}(a{KkO& zuC4##V=tpt+Gsehnz|3q=ho)uh|_Tf|3wR%nqN6CX1$K|C-g&8bG=-9SaQ}u`PvAF z!RnZnOFF2Tc{UyB?039SbouDu^5GFt{d)Bs72l{v%?80?HG*4JiU^C02@Z*jq;cbY zQtQ2QD$SpZTZdt5xy~>KpTbwOoUiLz5^*&>p1b<7Z-Z*`eovpYg5%A@B)YYWIe;E; z_8V0wI*OGO6A_WM{K#-i`Bm1HH1qODox)Z-p;!-IewOofA)^sj)8o0TA3dC8_DKsI zZ+0g6B16K1qoZRYvy>Me6-~?a@kyZP_L&>jOH65xx9L#cR_N|S^If>ia-Pv`9qQHe zcp7%%O|TT|M87omSxh|(`mqJC`kFV<^!9$EX@}|N(FMb!fkyeTY&0T5f}_Ie zwvj&N^SE}mWF=t;u}E@oaHZSs`S_*Hy2Vi3p1bj){rM8bD!@ z_@&zqM07I8H_oaYd|sQ(Lk zYVj()tmnfDkI>PB^BkfV{onK|tKLwHSLtOv|K4Y$jvk!5)}>R zznzj_Ojuaf`VbafK7?jg@oCEMTH{MTv6ZjgTmbnw{QCm$%Avvm{H|p^uVcb;52@+l z+|`$Q%<}O`%k{h?w9gR#1aH&1vJ2=J=^wup+Z)j=Qw`zC2e9O|gtIQRuoU3qPEM$Fkf*-F>)xY8ODeL*R zwTNr!;oP+*E!>JVB1HOoH39QFbh;!j zJL~z6%Q&v4hjZ5iT5girhdQ_LnPamShhk%5qZXG}YjHDv+u*wEof}pipB-1fsl%`9 zNI2(~^?cG*j;rb6+_k2qF)*a1RAP;pe^?BAW<-a^glBC<%7@|A*E{!H{ZZ)Y+&}Xi zw{Eq4o^*!eYI-<#ZEh*iIju}9a}y$k({rwVK5}G8ByXfKR4T4Y;N1l03;1x*&KD)% zFstGWPmX6jhxFE^5GbWFp&=8^ewH!<>iIORe0c}&(4+#s7j-uP{ z`jnvo9sP#DPX&wT;C*yBG8tvh@y=K!vYvZ7?8CTL)8o1Iee_c9V?-poj}al+p1t8= zQ9OzrRvyL2=~kaF7%>jM?`@xFhGBGT>EYb97VQ+`=aUvY#~e+hNcy}~9zQucCXANb zZC+Ekl!swJYMgSu7b?@t%r{ z*E^_7MQWM!XEeanjShL>4V50Qs|JWk%Z)`g(w*rJzOd_0g;aD}<5ub{MjxA|Zd_P{ zA71fHRdFp_jz|k8S^HPw%JR!!k?xMXT~7^2LofV74al4iRC>5he(>bVP#quX&dwFr zb#OS1p6^mI#IDY4^a@v$cdA)8dfwp62J{jK_ZmHeSac4X7&<&YP9O8)}_mjlN`h zu!V1*osk)S<2RSgiK9EJ^C!JU6FZ^fIx z%FZzwW9mk~r^m>mj)d#7G2^P&p8kIAP}~g`OO9J~{VL93@nnmP@8in)=ItFKA4(7H z`9?0jc^@sUS^x4HxyYG(>M?&&fIGZ^SI37I5Uv{)2(Hjy6_Bk8=wjCBsT1-!)NDbx zZWL$~Ks{!h7(D^KU*}NV2%~f2uph_}u^-2knZAcF-5^&`!}mq{<7slCGU_s%`k(mD z2={H|)q7s%#g^49>&11UzN!C-@62%DMqa~rxc*L(T;a@mQ~wj+S>e8oyoT>^{m{x# z-_*l>7tf4$YRYk*S&2DWp`9JB8y7>rkh~cFgS8w3@SvaT=GH1RCv0n#og;otay^L+ z)wKJg<%BvknaL=4v_a96HeBxQiR)KHn^rxwbm{jV}ANB@*?2#S2 zvgzMzTbQJ>|xwde3e@>N~oBnsp9aC2h>K#V8hHjKI zXOF5^xGu{bB2r=;4ozKdKEV66l#a5;FFwRmJuVONf}RMw47~qJRe?jBRl^&7RAH2=#rEjx5?kw@fV{wki?2L~3Zm3OtbwFS6vmCtB*7c}af2EeJ!Zd?V zJ?5I~LDk6LI>vEbq}EfN;fd%?@rhzb5*{)tCi&${gB@SLET4-^eP1K_98?kcs7epl z$qzmr?5oZ%cAK)um$PdRb%By`dZK(w3?8a9z0!4@69%>GVxVDZ&O@CycHm*W$0uSBzm3nUZvbB2Q6|TRhKWH0# z>Ugm3@)E|5da(B3*%4C<&!&d&#m7Q@SyI%@GJG4l;oIQrzO`2j-xi#<2b-yNG`K_L@mm7-$o~nau-goQI3qm|@ZS{D~x?Y0G4=TpcIcC%V^u36U|&NBGnHNI4@F`m3ayS&SDAr80Bc(-Oad z0g^6WC%dEnD+|slY8AnB0q@3y_OxR)4yAHL#xa~AmH6YI=!`YeUt7M;GuB^I*Vo&; zq6@MqJHmCWzy8=)9n;<6+q^7~2dB_tP@YqrT%toa{n$pH zSPs1DygZrQ^vPU#vkBK@H>{#;?Nmf?27!>U)!R>kL7h{#PUZ`s?yDY>5P_SsoE;XC52>|8gtXY#e*y1uDpon%o* z%C^ail$v3$S$})BN;mk_gSTdGeHG?Qo{%LW@B1AgV?M9xr#0*P95DHE4g|dxXu$*f z7xcHteN*CRCFXiM=C=1-d@SSk@P$EX-}KMFR7V+0@vSpFc%`pcU9*1u^Xlx};8PFY z_Vm?vY`M7^94Jm~-OOp2H@=SSu|tI;Wg({i_WerFtW<@D>*NO?4j1?bb#ZmIh}PFR z60m3wjCMWAf%w3c%6-#ol)EA7f*lIiUs7!}`P8v%-&{N1A+T#-=O1&2W2u&yoz4fA zobVU7Sr`zTQsih{n-M9u(1+&klfAOG%w4m7{Ckyd@Tmv)S}WCSsoCjRGqN(WE*gm* zH>!e@7Q8GTqFw}!YcOAy74g|svbCl@Xra)zN-RMi$%Z34gPi z#kS%L2;#aMTtmc|Hm-h{_v6-=gto@ejn*e@5A6*@XX}HXgm2@MaGoWyBi@Q^YVV4( z=EyZi)c-f0dij{o!Y*$oolWawmn|GOXs0^!4%f%-R6SwvsRz&We+le{){7+zUFZ2A zm@3vUa!pUo#(UBBnYrl~UF7VntoG?S*{b3472d!%56Wk-*!8{D4MEpknTfLGBPSQi znuhC=A2XnOxhoWSo%WEw-hGZ&E!s`~?K!UA7E5+Vao}>7BPtv3D@E#MECVG}QE>;w^eXn5||(-&`-BXw8Dc zb*+g?HwvPTcV|T{aKj(j#g&7HxGb?@xkEayU+gID>^rNf{LqK0ikI%JS#SNCN;mk_ z;dx#?!*h}+E2n*i=c1SY?sT++MRhW=K2xYFX%rQ%=ebq7!H4dj_=aP%CemNRH+>G= z5H~F;Cp(=7ayj7>A{+PIQ8&0sZ~$d)TNj^`Cm`lFH(WpKkWDlBXC3}ui*W;Y)m%ch zDuH`DV8z{Cn3pA~pXP8?Z;^*P0$m z@}%S4Elys-7uM{|9P!n0X9)&J(RDAYlgI0CmgB$Zr+aGFPZp|lgHJuCo4@EMXK#xb zc%^H**!={yYBT+~+jq8zp{0)V{%e<_BWf9^pW@*BcwP~_=?0&Aa82%GP1dV3K)l>syXa`KcaNdO=+|iOv^~t66V1K&2ae>cMOObb+;hJr7}*Y7N~Tv*q!3_%{o9 z3l?u|ag=nKHrA^Koi<9{4h((j14^&xr_#f9@_GC@9P3E+DPU4gdM2BF@t0!ou)Ji4 zl4LI{7?yT!qo63QXr5+%V5^XB3Y4@rwIjt~gtE zMmoATQ*7?0-&Zl&UWb>0+4| zhaq^a!byxI3hq-O8bzU(2;??bHKuPjQjH;xd_T@~PvtIPgJikMZVpoge#|Vl4?B-aaSy zqSr@R_^ztxy4b~of-zq=lKbAS%hfi;&^NtwsoYQ<*m$Gd#)RwS`+tdYUT^Wg^{L}h zO|x%tI=gHBBgL*mn)vNYbitMWiH96lTKvnuV){^BJNqp(f8ZAf0up57%ejLpaR!C2 z&z*2Qmp@AVEN9cWm9p8>rlrYdhU>%ot(SG0rt_s9vkKRxqxy<&qJPq_u5UH5^e4yD zIJ@lnvde|Hauc_@)^p59sT;?bb8BQN6{(lWs~oOxTDeJCdls3oqTGVpB@oKL^(ir3qM%|^vg^>ZDw?vqB#mz3eU z*%qA-T@0#nZ1fjxa~;RlPrnRiA5E)NFFOrC(-Lo&`?GM}@N4id>Z7ZJ>Tki5Y}lnJ zRlnjf+*Z7Kw_NJNb)%RHoqtg=BSMPls4hiyYYNq`eGIqLZV_^o3)hWers@2PiWweM zOr$3x!YQDwRbvCtVgH5G@CXVfQy(0!R0H=14+5dB#v%aP|VY)gpzJGOLY1QvvfA>#H zaOPQ3JlN%CW_iL7s_=z+d`}vO1$gX}--n*s_xs&(^2V^U?m+qZ{gmJ3p7SDo`hC)F z@~H<;rW@I{i}8(-EVr0B>(_U7;hZ){R&Btkv9Fe^mu5W6g=Ci?v z?oVmsTn(S@T#?$^=~DhG#;9M%82KxD{w`k$gzJVsgHM02w}(Hzk($@S$t499e6DlU zBz4Qz>$mlkrAFQGw^ip$J?0@XI@5{Q6=}}-_`*iINI^ZL(sSEqij3b7m+rtoeDQL} zF-OqgxTKKnv+bpDRVg>>iB7JN_4?BA}lw7T+W+3+#z_s2qf8oJ@r;H&;v73p8L z+4Zw>jI}$cqdN^%zqv6SZ@r>rNI`~fINqxBsr&Pe;{;gNR_n-4PLPA4>-neEuW}5Z z=T~nI@oDIWPlIn%R&0O8^?cMkoW+YMizBr*e@|Ni?Pwj*-r`8KuK=d)F)_&EXxeBo z)HRT`kadv9Ax}U^*(H`)94Xosu^CM5N%5h@QD|jCppIxA=}6YT5c!dgG;Oz-6zRCH zRu!^obs{4ClU~KGE;2U*ugH0CkT;+Woz8!&ei~%lanAqtq&%n$*Nr=n!H4d5R5;X? zeG1=l$0fjYjLO2!Uu?>CKHE31m-=1Mp;$E#^K#x$N1$Q)reBxKfoSlh9uu_6Ik=`_ z%h1A}@Tn#$dtkxxavRx1j5+MMvv^xvoPT0W0?vNbcT%#$AG&eooYTG|zL+zTp2-XI{fsDNR8+KiHO(&QG6EZ7wd;Plj^?= z$RdZL9xU<|v1lk};xEITm(=5Ly_jKOL@xe)O;n9==G5N`$P5FKf#trg%-eMH;0U)g zZ?kY}wMc6H*%ZJnjiHyMg!W^nIp1OSW#mq8|t{)BC{)5jzI;eNVTu)%5;8TisL? zk8sA-V>ANQP0aD3O8J~AADJo4^ReuyOAi5JkVF(l6riWrDW8a6uXe^?`e{f4d*w5g z@Oe-|hU}LwRKk}b3GAQ4D&a^-LN>IoWJ0~K0a@1(vfyt-{77fxoNsmGQ0en?2HF+#5Ag&wfbX@(tX=LkvfLsFU7SU6V5VssuKbjo&!%vV{2n)zW zZ|30N{?Ly>Sht}VLJ<+iBgBD`&L*9IHmz*N35W|aG-3!wdB2Dr$lnh6he(8}ZL(S6 zUn4~NC}+di-%Kk)B5xu2;Izl`<%9+@y0yfrk zh_s#oii5DLvd|hg>cm>H24^AkFc=!!eohUu^Fd}d_5!NJX;B$uXLGA0y?%sN?S)=7 zG5Z><3zi@=8x|?FYn+YhM+KSLifF~Q2AMgyY>HjWG-t}oZ&&QvL1tduO(UDqQ4`6-oT;&wnO3%>ld{&!Gp%gM<*KO8 zrjZw@i!>&5HH~aeH)t+=W}qh54R<;Qc89^Zd$8CZ&^hY{MohzOQgfzf5QmlM6~Y+Ej5}X4S6H?P`6%S7C#Eu|oM;@zqXGgT6s#j-3K&j_n6CyLq7O=Kjh! zz%;VpLS-Ci8d<|Z$~f3GvMNKA@k-OkUK&bc`j)ZIo_6u=1gBFg;NBob6ism6DDEEO zY^V*C27ls2XETdd1s@V|gS40dz7ez$O3Df85y1_3BOP?2(J|DUoz=aW4p>qdA zzYD?^72-B^WkhyMz`H|C93JN6>m&&#?QSEkTo0#f4nU&fN)TDLyaRMe2@l^ zAxLe97WBde9x7Y15LT8t6wJXWHzHyYggLK_7><7z>)K$c-489eA09CDEA@_90s|Yy zf_mVtSOB~<$j0$i2z?oZj>x0F9Kw8UFdy4Ph5U%i|DMbD(kMfu9Jo(RE3fjTisPFdO}q>b7fop^ZKCSzD$X z4I3xpQxI0AdL};&Ep)1J2s{H}1&y_Vw)JwB)qfU{-O1I2WpAi{4(Rcmo_1>l*PLOR zu}G446*Sf6>IHl_Y^rAp;EOFDA+#CT;a(k*z-EtB38O+1==U0xaBWBem+H|nq5c>o zu)f?y^O@*6WxhVh%u#WJGT#_v=HR$Vna2j1IYzw7JTA!0p)y{Y>)sq>&K4J@J5%Z! zHDDWWQ>=dlu`CJ#yO^u<Gl#9w?oCdY4!^+u5Q1-9cvd={;ieTxaw8_Xe5Sr}v3EbHH8@WM-fGM8O=e7Y3O* z3m1ubbDZt!FAg%Z&i55^}Yt~Dn7+BzO!Au=S(UawNd5YWE$C|&C2+^X=JNjP}yHJjcnLUin+x!vRQsn=PqXl zv1^{Qb~M}bioBj;%3aQd{-se#Fs$-Fiu6j35RyJTs zDb5!&?s48+PbGY$Ep4%wF;&H~kLxwE9e!(7Wbbxu#T#p#t+e6djSg{(ZA;d^9=T;y zOR?_V_!O&-H*ZC{4BWNYcA?E8OUE4>h4yXTWZN~Lt~3=ZQ$DFL4muN3OxC*RWKM+a zT5M}%Sz{S-`Z1OBA1eRP{U9XQf2Du^zxqMU*~Pa0BT6i1X0KJ_=N~Hi`1vpTxvy>= zKIeqQk+hYL9~k+^6Thn}?t{{Ha-~wPT3ry?&QOLyv6bjFBM&BFa!ioRu`-aP?Zt8Z zBUii-CAwX3CfoKwiTR<9Qrc(dN7fBiN(@?1o<`!pW6l`y<67tawv_&REsI`A6OH#e zQ>;4X)E0ZzA$7u}r!7f$w-NOZAQgD^_~s(x2P^`MHip>AkqbtmS};01e!{{9R2uqi438&*f#f_-4-q~n}3F1;CC9d5Zx97A2}FeHy0vAkbKK|zx8zeNLF^;c2IRJ z-6klvOOk$;)spKJFsm!qqRxB6b&=Dw+YFNIzgl^53mD8S+P3lSOv%~&1ZoY;#>$R4k{e<-8Y>1YK-Z66H>|o! zh9dj;YE|b6r>04Qyf!+PRlZtPd3)ke>3&@)iUnq|vg6z%L+tW8a4{dD^6j56Ri?|n zpu&u*WAKOj$Tm}q8Y8Q`Ps}Y?EvqCitAXiyxXAF~^69shubxGXfDOluM;~58#}?eV zs{e~3{D)Io*38KBU!LEH(Y)AtVdWE+={Ja9e?$xZ8BP`h7dm5b$Nc~52QircEB*8T z&dZw6xZtIj$YME}2?wGuD(#_EY6k#*$O$j?5GlRMaT~EMsr`}k zDen_HmWy_?KJeM)=oy)pi)A#h;dA@$-%Yz!=SZKO-+|9HqbsCOxda)Cbej4uk_$UE zjABKZY^7p&-Jj|-6HJzL+ixfGZG15?s%w>1$eV|O+5Gn9NFBYjbJV8xx>qXc`KtYg z@60t5OqTRIe7{rg+3ohrqu8^9hQ_BxD=6y=2JZadjgi$__PQ%IYK&Ri6T7Z9&C!Y> zy^h;sc-?>PXz5kmBy>gk1?PU-+{^Bg4tR@*1~$5(DrL55x9S|}`Q;p3lEW{|lTPKG zL&wr*#pCd~WXY2k$bFEGrPqfpGw%2)RePhj#gLmSU~?a`CgFpS1Y;i}y50-V`#=3PirX92 zb-E%8uGP_)4%<%zqLRL|3VB!8u`C@FT(#YGq8G(Q%Kcg-uz2GwXJgxmP0^;+sxz2J zlL1W^Td$q+l@?zt8ebEiVw*7eGp*%{95qg-L(vn0Ndga>d%wf+Wvg56t}?yoJYm%O4b#-eZcges0w{b`r9 z5QTXLeTtQOQ|Y0rEyk@wt3qqBmY`tvKLKNm<*`lEhvoPU)N1gu=-L$}VaC(2s!Uj#$1fz2Dd9@7M z3YPW=RYGV~%n7gEED+lCn0>_MZv3Q558GQE-X+(z;hS^X%wMiolkB$dYg;Ua=hC3~>_22rIHget+<+N^{-Jwd- z{^=@(v=D`PWN|wdVMYCmSf>TnB`u4ilDY}4iz~$@@um7<%>E{KMwy`Bdu@vE(CA?*k7NU?QCnCqK_BW%OK56~nWy{E~PN>p$ zG}&${Rw_vy#OB^OSc(8k$2MZ-Kv}KCEhvkD`YwuX`h@Mow;L?|%6q9(Ea1g6x~RQ% z=H?I_%psn%AAYBIf!~WGo0ixL+k9$P(N=H95mT{JG3rZ}QC}km>#Nli&$Pr=)$xF3 z(e9pVhXcHOR%f;CyYKxF9Lyn>i&2-s=WnU>hQ{GZ)2}cUD;1-@ zWEu4}a+uRke74uh?HABwqIsxTDE{Al6bb)k*bc1vk(V8noaT&ZgQBk*_(2G#`hA@sOJ#w?J-%+y?mKa$Lv?z=%$NJ#Y)BKWU`D-Hgd>LZeL>@)e>vrH#3d#WFuyc)s)ScYbw@yP>fMm zokJgWe=~$?iS6e$WoE5y&&}9iDpo2+6UZ`}VB}yE#F;zMIW-diqxN^3vTb1b_D0Zq-#Jg(%E1X&RQg zaJMR6s&YFrXM^cB7&EiH0!G=ULRO7#@xw*Lc~x$c{&>`M8;qGb#FMFzMYm%71axqX zK~k0LI?w-Mx(>z#C-t{UtZ|4!)}OjoF;bOlGuIMya)`3X6Q_1rbRMFRCU;p&reRlG zQ{`2pR8@kRl_i8IyQej+E+IrA?XOEv@lsU@W>%IEqSSc}KMm*WHnt%|A?;5}sE(Sd zyPt5>?ET$An0;(s%MgVu^M3BX@o@yi`%l4BZ5uZiMb2FKk-G0(KO+RcmiNBe?tl}F z$(QA{yMTSeKa81rhizBs^_KAsm#aoldB4RAO6mF76`@K9jS7p6-&1`S@Lvp@YIPr+ zX<2oL_^Q7v#rnrxHyhYS{K;)AR24}wl%O2RV!(sgKCg;)Jp0dLrxw_L{PZ2m%g#rX zdn(gzdR!^3@BeqG5<;Vr@gNqR|4q!)0$c5=A6llJP%js(R4NwBZ(dk;UA@=9He!Qh z=E!K|`cOqmkWpIyX0%k4`0Q7vGba;WQ5dJ1SE3iGh5MVq^@Vpc~=_}lSP3v8dZtQ)!W`Bkz2E0sP2)ku3d zCfdL@VuNJnkbCGFqom56Y4fimXO`oS#`ER*y-T-1LKj!V{)uI-}GE##8cae zNuy+zuR6mmu;I2tvk?ZyBe4U7kYnI_51 zVYn7EZo=5EA<(IVJuH-vCaDuVv zwZ>?sCARHLdrCj@D;9_QhG6=U?}fk##-`7jBbb)h_J224I+FdDeOm~oBYDwC3Q-KV z{x(M$r?)zQX^HLdWj^UdW?bW25~|RPe0~Qj7#j|?n&Xz1*iI~2FFnbQ`*L##rX%@E z4xC_2r(751;vnOdB1CL(P|?W!8{QOOasiAWn(%UblgN5wwpWAdG$8y&mWNAk^^Na( zQ6y1Z@y2-BhXUmlpZIQt7h!Qp+v1GuChgs{8Sv=j^&Uzsg*hf z>rgu?1P60~wWIMVg4=6N1`z!&5}#)5&@%DzN!%c$1))VBtB8N=e-TC0wtm}t+B1vs zV=x{8uD)>ERIF5*s8@?tvUF_bkasNZIsK0=iO>5Rp+s#5C+yL7m3CBRQCawhK0xa? zDGyabXjF`G;9ouhAsjCXC~8|0=oP&>a6)W;5q%6i(sN23LGEAGJyZ#10sFBmPX2p= zL~RrNBcey%SS9AI#in%JkPy7ud0sTO!VXR_CLcv4iyZ-c{o|j;4_#y1`NM$d^B?GE zw0-(@4^_6lTu*f;X(0;p$j!>1#0|xYf7vf`l&d9T!KqM%<6NeY7NY2*zLoY*hLy%D0lBPqWXO`%G_kS=TRC#Tf{`G3Gf-!T*ed=p`o&5JBgxa#lj5UAqw+UU#Wi_5Y%?t%E{Hupkg+o-8WN1 z6|?C^9{F(hAH#rJHBq);VJ5xZe8n0HC(Flln)dCtgs6 z^qSK(RQct?NtHrch{8Pbg3jvXs-yj7>`z(7#8ENoD2HW)DCu4GQ!rVTV1+b!$iwL^ z7Pi1$x~BM_Pgt7|8XXynvk$xswBBSHVPG5az?)91CXi$(K{=Ae+Lo>uv8bNm{XfM3 zeZnR^{b5(_k!1ucOUtY(g)~Ev1rGc}#Ljf3_$N+vZ43@F_n+c`s;%Or!)ig~Rxt>V z-2Sy{pWG@2D`Z{wle3loP;Xb4{}2dN6*2Dd>LPR;jp9abQ70O*1cgn$EWlYJ!&rud z0Tl=X`mz`}$n}7hMO;mj2|AwU*Y+`8tj^+CH!@u zP-}XOh#c8ZEW01yOPculQUlwFS6BK}N04MFK{@c&H{gu%FZ>BHsr-l(dY2X)C3LIU zH{A7#;7Ici?BeQPQ@l`l$d~l_Zu5{Y7>|5lr+LU1jLDG`CI1>%9g*}qUIzUy)8m0wcnvY>9%%%?Rq)wVZJ3{_rxTc4h!g(%E}MWvPYkAj0f zZrgusab%~WTlBdG<=j5}Ad*XSy*0j2C4@#r?j^1sjuoc*%q!_XL)X5=*W>J9kZO}D6DFML=Of`d84 zTm-~rGx3KIYKjbM+kUV(a& zGb2PHP0oy>`M8Z55kT$pT=Vq^pvxow7r{SmGZH&ml16;43Y}rQpoJ)_2fwSQfEJ>V zCaWXwxK}@j+wMOI0BY;EHPX^&us$uQY?;zsm2`Gx+fXHhMnx98=WUFkQ*XG2B7VfD zj-BF@71+E~#{zxCVuS$|2m`uPta=2=dMHqVP@p@-jJ2+}Y77Uu-nQhHr!9+yol$$M zdMDlrQ^q6e7Jq3;Rxn16Nt>};{CN~m+nOD_EnBK|&nZ0vrec*!1MyV}hN5ICZ03-j z|2*>NdfP_F&(-zpu*x23wm>SVs65>uD;OJ<{;PnWw(U2^Mw->`@^c$gu}Vd+wq)tm zHgd4q{==`k9zaO>kYJy-{o6B5H@3na15Cv#72T&~={}7dvMc@~z^CnS+)&e-ZS+GE zO~on|-L+)tu8kbh^7j}l`topJv$oM&fSm*UP*T}NRBq|WIsmBuNTGmTyLHGx$mTP)!h?09le+6aW7yySm#9#sgFZkc zEkr>c^S-PFMc91I@_!7jSz!CR-Yu52pTAePV=84kKFK?(>>H(^^)@;IG96>&3_mED(9}{^}1^9#Js4a z9^!6SLUS?fzJz%BLs~KEzJxTfe_=wL3>^_6G8QK^6(8M~kPwAmtVf8}%Merah(oSgc5Emo? zk_e&QRW~A{g_vY1DJrkd^AhRKJAafx_G5*S=1j}$s zugi-kC)_7)TA0vETrxSKo9H?#p^o@v3H~X%JE4h&uUXukFkUuI86FbzTnR13CUV(e zabj6Qvj4ux3HMt3Z%$39Z57($1c&J+Mr>r)yt_1E3u4S7E@>ChbxuNdorb8yEQazx zE9bRp*hOrcli;b-jn!lLC|I=xjk<`=wB85nmyGp9F0I?bd(81{E(!m?>C7od{omLxP56%Qt~7JKf7 zO*Uu5ug*H6-8~7fi3Rs2Jn2Wr^wz``_axjb3MVHxWfnx)m3<&fYxE$jD6OTqaxt7w zyeFs++cIM-|LnyHS6j3)m8sX#g!w@Ziu~xB2Q2CW%z2QR7bLjE@hJ(Ze$>orDczUY ze#@(kEVcZW?unHXGUlP#^C5RZ?uOh0xfjCgz5t&-$U?{>$YRL-BEyofvGFT_uR>mf zY=yiI*#_AT*#UV&Xpsp`UAq8xL-s)SLiR!Ah{}sh7#H;;H1SkqLSDnOK<6OmAr~N( zkO+)Lj<+q(Ct4Ey7Hd+~389@zNQE`9))c6zb^UWr zVG^{Z{dyQ~fZPbV2{IPqg^YuYhujR2)q3`HLY`|DG!{G?pK~B{Aw`hVu}SIT*n|dl zQODQFt;SLU?B-bVaV&LB^whZy*558wBTU zC)HY7W^pCP`7IwMRHoFL2m5@8sC7QEnVN>_Kr$9{k4wbW=M#5D?P7Y%3yD2d`os%~ zlM~Ovb`ElZf>u=GvkDS%iNEr6f+bs6wkLO1l@~A@(_`LtR;Xn|kM(m{XH5}jTQ;0w zO+Y}c`JKg#R=^#Bf4kIwwp(JYg?`JvhLs&kGm~SBPbKwfcqx+NAP$HV5)W}fWS`!6 zDruIg-?yidnnlz0j;MP&X>{BuP_BVo3mI+Di%uuS#f^pLg^YuYH&UKDos`#bCNwr{ z7CvV~=0N5`iXf%2ji!~N2a6XpnymE{cP?l&*E$CF-!2tr7c`n-y_wuRF~bME5O~%U zIo;DSA0lg&MY8WB-)wXtrMR-8-s3GR8;*&30#vcMvf-=-{|0&s@-~F+D5)nBs~TP( zb%czfs)nhmoh4NbudG$2b4tH%lwACCa+E)6U&Hh4vOdMzk|$f=Me?WJ#f)vqGps)V zk8CT>0x`OukhP zt%d1dm|QCqkSi+YF1Rh9fyS2p=KXum^FLjHi9f}Dm_K+Zx~>N$L#Cx%o) zsvr!1TUwObuyjvidg-f;Y85|{I@$7MJu&5x)H!v31z}`cP7Hj*oGbMmnqA!aXzE;T zfd7w2Q|pW=Y22r{GDUT-zgcBUj8>~Z9Ln*PTa|KmPoh8SBi_9m9E8<5&re7tqzV#&W|a16vZ?fJlYuLm+-OaNo>YJ3%}wS+bpm|pSd%!dv~SZ* zrRSRtEUsvBNL|3sEAaaz*&jEaX>w~i(c}iWG{{i_IRiNhIR`lpxd5r+CwL65SlX}I zmMu}uC+AsCp;=v< XL>!;m$MHpyRYt`@$TPI}_GrKjPZoLM|NJRZU(byI%w6je| z>!qJfv|RS@mU1f z@;DYRb!AFtB&+#wZQ5k(ok+vvnQ?8}jJo#&-&}`VBw1%)qdRPID5m482r+YX+H~7> zP@d@=;Xk)MIXX6G9@z6CcR@sqrMW)mvn|bgTGzm~wt<*qX?}0in@q12*?f4^Cqy?! zHlLMx2Iws09OOKt62jV6;WGlIY&no@N%mV_Y+l)=^i=b;#V4Ehll|i#c(Qq0t=1S& zf%0)>iO&CHu<$f?RhZML0zY) zZAp8^`W*C)mx@;{X>+3X1B<-Kv}axCf#~=G*^nv-<2IL$YZ6=Bx6NeRT`=su3Cm62 zHq-0oAO#9Lk5_zq@*TFHU~2sVdat0(blX)9tn6bo|GB9xql-$fZ#{8E%R_OjL@rnz zA(ufqK~^4Xc|Lw7z%0mY$Q(!!1aCVd=3@^Q$|kP{HG z^-RmQuJb^$0r;$hR6!z8jV-lXSw8Yx)}~dayx4lUriR`qt@R_dijc}2r90BPmOk5N z;FiHHqgwc*nzcP&7&Q-R*aNp&U@ZZ9(&1ljX>+X>djU!%qza-r(L0md7L}fATO@xW zBcjf?X)9~^l?y?hksJ%D5ApXr-{ui5bvob-$V|vA$ZW`52rE&9&(bOFT*a{&Jw%VB zgp9hEz;e|SY8}ZI^SU+f5wieIS_A>ViaXk7w(H|nub+#}m|k}ulpB6UI{rhB1&w;d zECgH(sm{>{b+fj|rMbUd^u&_(6Stgf8>dCRgTjRCkG7x3R02i7k?fg??U$BXI!xSB z+3s$==N4488`W^8o<0kovmtXJb0I~L(%U;MEsaXQ@x>0=dX`+R!`7H8B+(@U9+w_S zOAqSs1{vo^M`^I5!_#k!N+P<|lD%!8EPo`J$LZ%?M{ z`7qoCxf^m1bDB;Qh@>Z{jt-YmC>(N>Cfr!t#rcZ)%%GUKCGBSGAHD)ZTnyb`;hl|yzzZcoH>2tFs_b00qU zLk>U=Lf(VC5BUJ{r5JP?{`QJHPiNlYJO|5p$OT9xqzZyF{mMJD-;C7c7k0W7{}$6T zW*w>++M>yKW*6074ElSFdmJGuBi#eECuFY}Ei*p#tHB_-AwHtV!4f6TOwVqO0HoQC zMAsSFO~eO8bBQjU1GJ53Fwq5~Wkh*1fesMOB&s(T=vATtL}!TZC(4}#w1;RaQJo^7 zEku2Z{s6KS77Q3vFk+xsJ1aY0xMycKu2nF&TmK#d3Jb-$S=ml8nWifSjTk)SioQLR z=>?kddkyL~_=;hJl<7B^Z2kHb78Vu^5`&81Dq{{vee%0^AJk)b0Z9%qVNP~aYoA_M zoZ^zPZCV0h0VigSEUc9UAeNA&MK{K_G{l&S4pWb55`NdH0jIW1k^Iv3gc z7mOItbI2gw^)qvkt?%Icq1}cS3{csAfXUWt#8m~udUO+$9!67I7SZ?cEBX`^6!cak zFHFLIcXqNUBg!WV5c!DG<^h!xd5P@zkVlkH6d>{urOhXg$V+6umpr0;q5zSPDD5ut zh`dDh`^Y28Ckhbxh|=yRkH|}8UqBvFK2d-A42qew8xCEXr;yMm~^j7tb%sjuU;U#w<6~1yl=k)m>}Eh`v2~_Z~1< zWsO=4Z-aXj_U_-m?{H=64wI<$AnIMT7`45EXgkrpM3qEu6J35kP}B;bSwuaEUL%@M zbe8B1qK*$_Hz{5TFzo?!NY|Cw4TT>jF^9HOK(z+;>fYn3f}U51uO7fa^(;Y^F#Zek zhxX~EOm|9CLE-R%p@oB#=|z~t>vZwk5;!|gl(7`(;8OJNq@_rWT?Vv?sBjrle`e|f zMD3OV?Pcn1%aFQ!DQxR$8$$FWQ5;dLluBD$H#A%LDHx{~O7q6dl6Rs+39G?u9TLqJaw4J7(@ zHOhG4A(Ym125kFiyPl}-Dxh^l{fLebEh0*p3ABsoS|arsEjC|C_v;RN_&(% zA}^7B9eG6gL;)fnQQBkV5qXL1kCR7~PZS{X5v8pmkH|}8e}X)se4+r6k0@;|c|=|! zdkJ|&`9uLCA5q#m@`$`d_9w|B$|njC`H0dUCy&TWWG^L;D4!@m*1xvQ}9<#H1?_NW}^197>K2`JvW8cSr~08~npPjr;XN7UjO@`%O~*`FhiD4*ykk&mdwdh&?I64^Jhe(PC3q5zSP zDD7FGaw0F0eG_>^`9uLCA5q!{@`$`d_RZvNfakuOQAU6^A8l#Rkw@euvOiBAQ9e@IiR>?uN0d(#Ao3BVZ6=S%OJskEJfeJ}0FjR< z?RoNuyhQdbqpL|!8M4)Tcdi2_7EqO{k^Bk~g2-yn}DpC~}&BTCyw9+7t& zYEb)4)S!&E{5Q!X@)4zNCy&TWWdAo%8Bsn_fXGLbwu3w(FOmH%@`&<@0z|&IP)3V4 zP+B?BSR(t|K&3?aL`R8yL@nMVk7z8B{T=d%@`;WT`G{Kln>?biMD};dBg!W_O5`JI z@fLYRV}WY*=yt`RUOh(i76;$L2K%YEuyyV+sLzOQ-L9e~SJ-#5O>eXQL|!5vQ5jJ= zQGm!^PTo7@5qXJxL}f(fL;)iEF7nuO&*b#$VXI0R8ABi zvhOEv4|zmhA|Fv1Q8`h7$bNvlz2p&jiF`z5MCC*QBKtw|_K`>ACGruK5tS1Ki0to? zx1T&BFOiR^jHsL_KxBWPyaVJBd5L^PWklsf0V4YcpB=0@) zh`dBTqB5d#q5zToBl6xSkH|~pBPt^*CkhbRKPK-3@`$`dKB6+Ba-sl{{SbK{l1Jnv z@)4C0l@kSs?4OYL5qU&jA|Fv1Q8`h7$o?sLACpJqCGruK5tS1Ki0q${cZfV9FOiR^ zjHsL_KxF@%yidp@@)G%o%81H|0z~#N$orH$A}^8e3yk*CPdOinjuP3w1ZwdaP(IOE zA|FvHQ9033BKu+TJ|~Z8ERm0>^mFVQb{)p9;V5nPBjkNS9?@7L-x1dD3)YV)KxF@l z_4|_bBk~gYh{}k{i2_9SugN=19+8*GM^r{sP81-re?#68@`$`dKB6+Ba-zTy)TQ>f zs8!lmHh)yhom-oKr zIu zT7CyF`9$N0d;#*lBabLRWd9y0?I=(_k(bCvR7O-z6d`Pq5`5hs{gmBPt^*Ckja0&p>I%$RqL|!)UDYGx9!ljP3ZD?I5zB zAn!PNMBd{lW8n$#%4jR6EkI=dg}k51Bk~gYh{}k{i2_9SU)hcmYzNUeBHyp%ogj}W zKxF?7DD4-Zd?GKAkEo2OoG3tK|DC*F$s_U-`F@Azr+#HSh`y(-_DS~3Z{(dMkH|+< zMpRA|AhQ1fl=eG$L|!5vQ5jJ=QGm#PitRYbb`Xst@|{8%r6`~U9Yo`ZeCNnJLmp9p$bKFu?JQ6}k(bCvR7O-z6d68VVAh{}lqKr8KTG@~-R z@ydLFaRfd$NR>z`2M7QNy9Sh2MPEc-A|Fv%6?%J@=JxPgVO{-Qt?nIKakP7nR{B?` z#r&&iA*aP+axM1(`~5KUFRMNMS9zbgDDO$T`;hi5^EixCY2y=Iyy7@h$NjFR)slbS zS)O=rxX8!kI_|~Am$-Y{*CXjl$i|R5{4&ot&zJ92HeHneXYUE5)q{tiIq zdEufwlVjaQF(2ZT{bv5nO#CNWCb+Z2PYvDi;^{bd4}~HfZl~YX$c=ASRK&wrC)pkE zAMB!jB*pC%weB1oZTvZ@?sELvZlrs@=uzm76NT&D^+mtM@Ov!L-Cdzx zNp6S#z@?r>7I7dR$z|DYmw37%k|m04gya*2?nf+QR}NB|CZp`#IdCyM+3jF4ZT+)z z-HR;Z(G=wQFJ2xcHL?B0IS>M&cPp+-#oy*ToesO+mk!P&-QG1lqkUskC#ow zHA9!(XAxiLAf-z;aPo4|KY3H#t(bxzwT|ftW35g|mgxFU7zSfTxtCbPv@4L}>5LSK zmUc$UFB9F5S;Y2!==WjWaOJl3gSSoH+)n>b{oE@oVrowq#}&eOuqTYTXfIgAk}F_b zG#JJo=u#FwVTAiCiyA;x4hcOA1i+1DAQ)`76J0r|6@oYA7PHUINBz)? z=>26D@%nJ+MTJO_=+i=@;V7ZVBAytGl#fS(a{(1#%gT^vC@S>JwJ0`z82<G|nRI z*Ms@taO9Dw!wA*pM=hemO-MO05-Aepk1|s>jzfxVG*TqGZnT-Q`Zjn^y&i=T`Da}3 ze#s)d6JhLq6O2UuwKvgt{~a*iIu1r6|2yMoylgp)%Wr{WiGI2TjSiYwb*G}-5w{^( zq8C^MnljfS=1zq1!gP%CPbRuww21OqU_N;Vj1pDd;l5pelV6dwvvD@w{<$F@NDd?y z(h+hQq!T1h9Iwbq!MDfip2=#e^%oguvX<26tHe8y$j{0Ad@w`n;GZ?r`hhVe*eAh#TH>Jg0YPc$zN|b zt|$iVwQ|ff5I?VQJH-u)!8yJHowOBekbl#|?!Fi<%dqI|7ce@PAX%abOOTxUnY*n; zw0amR70Zw!(I9kjaK)df-u|P`hI&i)dNC_%2u>{60 z4X`~dcLyAOCt#dnyZ*{*YzJJEOL}Zk}K4v`_3rlqSs%PCL;@eW#=Wc-g@-n&n zrR(J!dk(gDo<^!fDbGM%`l$O4F>Vvk)b*(T_)Vzk0s52)mp>15`3B^WsF+S=!jCV4 zXW57xME;Q*-E}pweG80pHo-{b|9BIPonD6V>}D7x8uC0+yf3>?YN~6tMSGlL$_pq# zqJ1x5l>d<9c}NpWe{ioAx4w!JwU>}A(bX@xh6QTe!d09*;|qP(H8tuxXqm? zu6P}&Xqy`^OIo|5MC@hmsN&b%hs7B`xI39R_+|L-zs;SeiMw8farbr@KW8CJ+qjd& z${j!lJnq_J;Wl@I7_bAGJ8gAmh=FZz87I7f)D>IdVf7pCp|!-}*Wuxlau{>B!FcU1 zE?B5@jOOlc{tK6R ztQOJleH7mwt`)j(A8I%4efM5X9NrIO`wx+vdw>h=rJfG{uRnAUoRQdWJ6lpEfMBjmw^==TNmULUduqQ~GectW&40%OI;ER1Ln{OVKi zvTvYo`jmwc**^=;*g(t)pz!OzK+|Uj(Dc{7P%{a0H~mNGJ&zzoqDPM)C1?uXavaI0 zzech|1HVxjjVZXhJNoRC?@+8nK^02=1=Uy)K#D}i1Hl!lU;H~#ulUj3U4pegB2g_R zSO0;8R>zS|qWQ-|vWdJ3B!7Ma$r8Cyh@SDpS)^?H4Ji`EqX2!jx2{CWq(6`%(Jqvr z@~r&A6EB8TxVua6EL`h3X4FEfqR*kx5?y=F?5%cnkP^6n6p6Z4B1Nq!^)B%^S3d0N zAtdqOdD`LT&=}rMyO7edF*pMg%se|e4C6E)y>bfh2FU_QX~?MJ>C50F7uq$*S<Zrl>Cck6Rls?(aGx7`u# zWN%wC2Z$?Lx}W1Z*jfxMcGqqY+@+ZVa3wrK_GjG=sP4xtP;rUU)1YEzwDP~+!GjfP zVJoC0XTtbRD^FJ%YgxqXZ0NVPMT$gkw?$)r%JGcB_9zD_%{m}OqSMLj*IRM6Y`@l%#PtB)sXCaLD_l1$j zf3z=+Cx&>O!ZiRFSE5M+JT7%#yk#g7zAQw7L^%VQ(8m3(TDTwUizb&0f-i~A4+?41 z1H)J+-s>b{i$!c}_ffS;s68C18-^e+k>7fy2M;iZN5I%>D2zn@`9ooKbYn1{3*b45#tzH84Ip0!AYLsSz~p9}Q!|NEnIyw~wUJ*9tXXF$(P>@*f}N z!L8la2FBQHVI=a8zm~?sZDCwI8b%`jccVSawc`4C2KM7wbO7Wf`8;bp$8H)H@;ti* zgpg89TSHeAr$v1fpX|Ksr!}8(* aSw2N?4bS60IhL))=|fNPme-S4js6E$p4F28 diff --git a/Main.SchDoc b/Main.SchDoc index 9a1048d216e97745a77a1c4f55ef5da7254774f9..1350eead83a10b9ff2f23c1c0a33e77c96b884a7 100644 GIT binary patch delta 1994 zcmZWpZERCz6y^>F)j4(x`|MyH=xyc~^y9s4M=1L$ZE1Vk-gfQU!PsUuw!vi83}Z}* zRbvDsf${t^@go?6KL{+`_y>)NfBc~dg25OPUHnOmE*fJ@__6uE_x9b|(d0JoJ?A;k zIp;a&y`?{d(nI00z0 zZKa7G{&dH%sEGKgrG&eU3eLA)!ogM*Pe$u7-&PVFSO}^JSl&m+P8Cbhr?9WRgnJeh ztL+!{h?`0+e%*Bu*PD{sm%FC~EXP%x>#5gD){kr9=~1*C5fRTB(N$f% z2dcLN%bwImhnqTP(CcleadhK*?=^N5oJe@B6kUhw-9heT1bYtzY#v87^|~&E>*63> zmlo~o11kb65wlW**6Op?u;R6IJr_3Prrmp)%m)@X>U$O3>8i)O!;EIZ|GY@owX$M)ZOSVN%VvjN2bnj3Xj@Fh8%Ix= z6n}qmD0d`+LcXb4rn7eVob--lojxX0&Pm_!_h<(ukEtSI7KhJ8HKKmv!6y?ER`&0} zrQ=Vdb7WUD2XvPKI)Mv+jau=*&S1~r+DJR+VQ7Qr`lN*aM%0#aJaJaW6Q^Fl+2d;k z5PA=c!03n#lP(H?zPfUS8IG73N`YW-9${fp7 zw&Hf4Yzy{>L)kz8_r{u9+(x-BLvfCEVb4U$luKuF5oIvWI2+11Ltl>r+w(SjJ3+R^ zlCgL;kgg&#gyv-QMo(K2og}7MLh1Jp$E%vJ+C>-rHc;%36dN55hx(GCaAk5sf0~@_ z<Uxn1VFg2I@D_f96uc$v={#c>Jsr`GIzuJ~m}0#5PtGH**yFB7~q zYlUT&pz+x57Guv7P4Cyy_AqX+Q_Y{5#UPnT5D#` zxo7S@b7vO*pcdAsC0m-T7YZK2{_QaNI9@> z6#i*mqMT$T$3JLUgu4c!C9RmJD6p`CG;3Mj1$V51G|etBFxU`-S6uJGihG~VX@`Wn z75;LG%G8FZ1qOL4s&a5}%l*Z+Ra6SChO3_7Hk2difujMdvr_ph-jR#V_QGWpDI<4?$PmtM~gIabq%=osWvJ3m+k*{}a9O9)O{~LR#pP}o3so2NB zjXey^wKI@DD8Nw{LuWEsF&q|haJrMFep6YU=6d-0FiEu~9_|~CrBlz!)(1>Ii_>j_ zmqL2@BxlxdNgF}jZNZ0C4IQyFK48|=qQMk}Aha3{fWN)Vcx7+u^jjX)!h1?;=QP~^(W>0m*nyT!! zXS3wq+7D~FNmx8(HgdR&>|P!#WgTAGv7E!p!_q(-e4dXtaPorPiyQ<-_`YHoIpE@e z1vZW_a3udc3=OpCRUTHuGT6+PK`Sf|Yzp8=0w@AJ5pW)lmjkikO5n927FLcY%79A+ z_D!)c&ef7F&keSeQ^QY%M%hi2J&1wfp%>u4!R9hgsZcG!1FORp=o>0$z{`&hN&WIk z79R1+M)YubBi^pvh_@4g{BXHMzQQBkfpL)zh<-5`5;M>_W~^7N<`iDZYEI@^U~&Y} z*;F7E@P&J{TztoIQYse_?i%I>#XxsgJQtL?H6>leVy6clp0L81QAGQNOg7~Ar?rBL z&#Iu42tL8wc*@ro_X&9|SFv8@x(N5}6X>~Ew=e0>2jSC^dUbE*5b)k}<1AE-@pLXK z#6&To)kBKIB|T(=$72>aKZfX@s4pc%GGR?INHH4=>W{NfGmZs=sZ=JM7BmT#x>6N% z5Wx?}apB!venIdjw1P@!V!`%J#T1q;|k?hM(JQO!#B}ogVj?{iqm%~ xUixYJ2=&cOe5d}~o3EPQ=O$yt*+<2H-~IR&rWo*Rc=pUYx;?K+PtWY8{s-)JyFdT{ diff --git a/Power.SchDoc b/Power.SchDoc index 1315e105c1251962f12e9557678775d2d43acc67..3c1910197cc2f28b866b2451c7a955d3472e7799 100644 GIT binary patch delta 16151 zcmZ`=2Y6If+MaVlBm_v2o<>4K4P_=XlbHb`ne;Nr%%o3*m{3#{l7JM^06|$@E9D5E zqBOxy6&KdBnjkiCfmm<_6tE$yH2qyyrTp(X_s%3?cX=K%bH8%xd%t$hG%xMmd?|Ff zao8kg(Eddj3={EPK3=(c^{OOR;lYRh)%d?B@=g9cTgl6~$tEiJjQGpAY8%BIhpc1L{iwE6KR4KwTNn@XqOH7zyGCJKX$Nq#9?nsfH_x*3XR zPqR0b*H4``d&czoY4)@-u|6mv&e~McFsE+L^oDvzn!^}q-J$92`aY;Cl39hb&(rw3 z=*t20>x96z3GW4X;q$8?3)*jv7x9&$B3+xRr;Cu`vfjoW&Id zh0dJ3T@kUb^g1#-;eS=u4;AZIa){rM!Z_7gAov-}4`s#St^8{{9Yu7#PS+ZD`w*V~%7Na0vKJLqZ0e{_#$B4BB3z(fEqxcw+Q@A;>7;D=HRw()w zEkL8oi|%0|1?3)JMWNFvT8k>x3W%Pb-eSG`!w|2}Ra#P7kxh}kShR>QO%xH|MXxN2 z-1UX$OrH=}UTJ1|fv-$#*cm07rxk0uo?E``o{?MTEh;LgGK4a*9e5H?Yt$k7ssBU__s9fiiUm+r9?9LV&)`y7e z)~n%4(v{uiAdSS3n)l2TnHxq**;yMl%JH^N1iAKfcj4K1vm_g5CSxjN#o<>6it$gY z>6y0jk;1cSu(O-r zYgRNqQ)pHQj~3e+7mHKRBvC{viv=~cGq>j1P0UPn_cE#J5DCx4pq-0vekYQiPvVvo zu_PTGS*SIO$LJl3^LHE(3tmWK7U69L(IOh;htwJ49&f`9ghPyfwVSxQXON$@Lub|gb*i7_ zJ{<$-NXe0MW(q%YEe^k$At%k!MvC;;9&7(PSPXr=R!J(z*aW)}Cu$l#5l_5^p06Lq3QXC3(>a%sPpS|nS z-XO+P91?S2Sw;LlKTF5WC*Uf?fo&I8kKH3iZHp0w2P4GR0?=ECU+F8S5Mf?Xt z0l)P3+}vmqE8Z)C$=ueRWr!i~CrXMmI0HWz29b5|mxCa|ldLOUNEt2WeUK!n-UnfX zG`aI1R7$JYHD2s^&+IqA+x9m|G1D0C7YlfaClAOLMC*YPWw*^D_rn4y>-?RK)eI~~ zO!(XK5=eWtegfN`VBp9|9fJn$DQRW%_++<>{VVZ$n4iWcmqth>tG{HOx+?m z_gkFGG8&x8pWcO#*!P^c=7n5$+G9RUTmDO9ai6U3pI+aKF31@ zYfF{_bz@kKMtH1kq$EVjl|0dw3TyGhxRD~`Bef`}w!?ItYYP{)GYMkfM{H7Hr;fo4q=?qNvCKpp?`F@4mVXSU+8`DucFgG`Za$I( zw0c{CRx6#_DW`x2PEBUd&;~1WiB~@ZU)y~%@E4Fk7bYy2PeL0O=j0#DbjQvC@Ep7<#Po5|NUxSb)aQ*{}c>QFe)N=M8p*7== zFbc(rQ%N*yRzN)fB=c9W(Lmk-O+!XRHu3dW88E#Uli=Xe|A^D@bmE(KJaLPIR!rtG zV%_m@I{7g$mHAC#XikB*s=BbKRL2w+#NUKnocLxI<{6n5BW8X(Da7S0cjs4TWGE!T zcPyXF(nRuiQ^+_EUk-dXnGVmxy49YprA7o|_+k~qz8@(ktbqm#IP^?}xZKuX2hWl3 zljyBG*(i{k{a+$zbU)TCrv5Xji^Zs627P%K;ZIF1nn`!O-doT~PhPByVve>29HS&MLIV>(kN#i)s2~1PD&;hPrU^=u zwCP_;Py)&?DFNmAb&!(wjz)~L;a6C_c1R8Rw;G&xIBc8NPyki$|63c3gq37TST)I+ zc(1T=3Qv^wC<~{TK4b7!ANnAG&`V8?4*rJrj{lw*>h^l_3cV#20X%8yqUMhWIpPe~ zq$!A|Z<9s(rKGNTS+49XSEd4$>g#)!AR|$+@6zPJ^N#PL>BLHO9n+ij6wQ~j10471 z8^n++DS_wk9%16bX`^`cidii|0C_D}J0h>)s@lT3r$>sGtBav6w67ZaR$XR^!B}n$ zei$~LTFnMhM1S@vSvZ5m!@KuGti(ltxuK5T?>AkA@Cq^{vlz|F*p2xNLUjl0+ha$KkQGCq?p(8-FMLc7cf~MFu$w=`;6q_Bz(1UI zoW2i%zDYXGV2jCWm?zlrX3^*87>c&rF3X!@fGNsYv>?s={&x7saNS)}MB8&9?{zd|bq<6!# zmZZn@x8%npO%GS&IW<7nlbEcgv;$C>>R_}%6W2&)GwFoFdL9~$qXq*E;c{~qlU+eFO4QcGOE~@pyCWe2yT8N+3ujS`j!+y*_9!eb z0(yxQONzpyF4vC6hoaH53hRR`7AwFQt&1ou{xe2$rIU^27R|?MY=y+MIB-=|A7rs2 zXo_PoauhOEJ1XXk0?bP*GE4I^iu1JyQB4>TA{`jT>Qv_m6Y+HWXjZG90#V{fBvgum z5OIb2;GqORN9{fDLZ)6KQJ@uq}Ol)&7IyLm6wrE7LD;uweM`7`u zygiLI%cZj`AaVJj5W1qVmy(6c|B#-rz$O60vtl7=^W$6>IY~cSWeX0Z0?`OyO=n|; zUz--Evab;wX(rpDnQXKq`8(q9%CG;tg;v@bf;5M2upOxq=do(A5IIBV92#4`jKLO% z!*HWutM8-%Ni3Fn?7*6TejnbT9^=?XxCXg{H%`xIDi~RYa_QQB?@@ zq_e3sYOsHNC(~JR_o9MapSQZuqnCDqpb@BsP_vVrkiFGqueN`%l&*(@0juw^2wwN@5Mk&+hKg)xUc58lQa zyhOpdY;lM05!9N?YGr>&YlEyQrajI))*A_;mOENgkYg6e;)6-$w6rasZBhwD41}$n zcmnAx4KIZC(h~?P^4f&wRI9?-fH429_o>mvwEQ91YWAlv=oS2dV$wcTCR$qQogz%u ztd%XS_(X0iN2c1)lG|qL>qb8^slYf8Z2-R=L{8B>kHn9bc3bg@BvrX#aldbm;vFKNX0H5D2Imd&pbD1XxUN{y)uo38cl zEOsMBR4E2Bm1H_t17I{(F+~5gW;Po_+pFZHQ>B&4q2xPQ7+szSs61NDy3zz6E2LfN zERHTsgl`YOkqxJ>d@yr`*~P`xMOC?aWjDyGH6YDn4{EGtjhIRE4Cr00W)nkl%iX?W zm#BivB2L%PZCBW9CFwqSM@`BdKK+>n;a0S!BxmJ+2&V^bVoSSN{526PoYDmoO{!(zaeP;ZP$@{Xd<088 zI$r3t20DGzBXOx(qt4NJ(U#>u>Fb z4866>Q&}N|qzQ}VDCx8dJ*#!+xtSGW^=j`$wrrKj1`->sl@vN0#};Z*Eg@_eeR2z| zsnxDtx?p@7x~Y1P8dDsV-pbC(kl;DAW4Cr_$3)wwv$Nc4*D`ji-LA?xy4t^QV`sP( zIl?~7!eJ6uSH)-;UswWMN6f|2Z=J!ukRsBT71g7UlQF?`xRgcH<(YCs4w!9t_TqZ@ zN{B^HO_pL3@uM4ADb*UZjXXIfU8)UNlQCu!D?ac%Vf9e39x= zg8S^97~PWDesJeW;kpQxD{(!GPE`YtY62hJ!HPnwN-|45Rjw=@!@BG^ad27>DIZJg z=CCpt^Cib)D10uP6q27)RN>0@m1!_`(5&8Cl0<)>%O*>8MUY6IIWIuaAsaTI)dfV5 z9?^#Rtlm$M0FI$`Gcfpxcd}HZAaiP9IWG1Ekbk@rKt|jChX{Ip0lQZ%Pk1c`d9fdR zUO_^f_+&Vx->pJ&S*1%gsSr};<&a5%cb?M;&wXfJ6U>=O13@YxVg*HAT;@l*{EJfn zwTla-g;t+PLEgNPm~U_>)wY@jD7l4obL>JwgeOV&RY8$3BHAMgcCC z{(irVQRT^yTL$qmN@)DE1a#nph>reQ+Sn9S3nXOsIVKM+q1Zh536=nO{(Q; z`07W{qggC38cvyysK6|8={OVthSS52~I4 z8vdf?XsF&qE-jZ;hX`8t0)qTy7CxS*!n7c)SDMK$Li6APqoM>WPE@#4b!Zh1VY71=8}4mcR~;4 zhbd|YJn>@BpQEKTJcx=>P(lc&xX0M6j?V`kW2?JZQVa3w=BL^>&%chY5N+mUTX#`sa-2WoEg$v z+&FA2^?C~IzW0DVtRs8eq<84F!39N%0<1le`#Bn;g4vg2ALgz)=Ig}3w zN<}iE4wZI1Hk0L-HSp4dZ?7N^;yMs1v zVzTPxL}lUSr(vr>Q*d7Xtg_Ft5>GQX!OuyC3{`Z-x0{fL$p&D!14N`@UPV;aTa%PW zv^4!0y=hca{VfC%u=HnP#Z&Dhi(fjk-Nb(drs^BzFO4a|Z=;pZF{e_}i(n73R5Cru zdr|sEoF^9#9wJ0iM<)5+CH;QZxLM)7*oaLJ*^m*K`y{CE*j= z^cSGc_7v#%#9?V=H>!$oWj7jMzm_F1fvhTuYWA?lY4k=oE|mu-{1xY*7f{C9{u(AU z=vB5{9#F|JV8?4Po^ltBWP6Rt<6l+9g4{5X-sgHc^BS8VF7_QMH8skkud@s(hDr~e z?8&2PbPL*yd4tv93cD=2uukB4%0h6!d^b7BI();F3=oL79xjAK(Rpjl>J1H)Ei`|3% zWV!YH`xwy;Ev&eks~|h8x~j}@QX0zv9S0aoKl*q#ifLu@ab7_?S`kCI%L}v1-5Dk1 z3G=tzKA+WlQEsxR%oM`ml-7bbWair#Qn9z9sH(8i)2=q0Zh8l0%=2%Ur{&6V{L04aZuxdW;T~-`gobPj&miQ_S-}vS9ReJIr zEav5R+1(gXV8#RA#fT2S#|DK~mAMLBxmgv4=f%nI2L#!0?4x3BENB?LU%>~6^w+!6 z?Dv^Ro=Eyx_41+i1Ehvl?R=b`_<#`%l8l*cRx@4PkA3~u9`u6Ih0?bNkd&O+k2Ixz zKYTJ4yqiXQ@BnDx2SCH7|4us&0Ea()$WEaV97D<~V#`66O}2yVMoH3a+#wj>h$tRK zwIf&;+F;~;DC!W)2R2$CA4$s(vH40}GjWIT+SMP1)v;NnQP7e2<6$;aQLdmZwF8Sk z0i;^m5T)UeLB@)QKSn)q;kP4b^+(t_Uv8PZs?b?Ttsk){T{D*IKY=roM~syAF`Fwj zWyt`VlR`aZ1#?bSKPETTjyl9zpa!3Zj}KeiX+;zghHIE=`!}3m1yVw}x;IZ^%e^OA zy3$c70O@Y^)Y_Aer4P?z^!C%RkLxZV3~D*WqLi|{b>i-i+brj>QQ-Hd312aXpQY|5 z&|BxhTl@Wg=+;diL(S)4t-RPm93(%mH$&17!%N&2u7vIpK_do;|;38H!#vsRMngBB_8(iK=P&MG^67 zv6-Kxq!sn~o?&tw!YKU=o1-sJyciRvR!&ioEq%|Neil9OPqsYpF#ZR&Om)2CJl@80 zKd`32lPHb|qpQ8(7t|IVy69i8z?_xNn6n*p=-^qlIM4z$p6kd9Ki|%Ks$Ix)p`9F0 zKV9fBAki{PpGM0?ZF0nZLD{lqbeMR{(^Fg1>a*Bm&uQjTO)7rv7=7ewx%>j%$-kUh zD`7cdbbcb{r=*@dcWpCN|9{#_N%8INt#7SsU)ylH@(a_pPW2qKAZ%4VH_^U-F;lz7 zYdW>^SDlKw&=flNt2)q;H+5Qm!v@NGTeR-qNELk5o{}7w;Z@56-EDSDDA`v3`l@V0-2dsy?-097BSCn>^RrmMk!XNBb z6>1#541lYlY`?^kx)o<Orn7{%gJSAjdnm;XOC z|8j--sBMs6Y1~y-6yh$*$*j!GhhcvSxiBUU6A>>jDKx6ZluxT_d54FeJ3P>~ZcJPK zMM1nPeaQHTfR;Rn2@?};Gt$B!juI{J$lJoTR#Zj!h9Evms;P%#3356?d~$%YU=Sz@ zHO*c49RYH`M39$F0+>v}9muGXhtrr}u(@9c^VtFEEn`83VGEw zjH4%e@+3*dUc87HBXViG%Z{QqB6;NMOYp!)!}vUIx2iMP_`W=X7KihqkgUqW;;M?0 zEE#b{|5s(Ih~SI39o0gdrVs4PBPl477kA5XXBK5w=D~eC+f=?Exm0=YWZC1Rke3sU zCDqfVUN~&sbUjDRiPLvihP!|!wBa6u9P3!bh0!#jm(syYglMuCpA=GAl2KYvR*@%z zQ~$W&y+=vONU?ZL2p#K<#lW!SOV!wi*Mw%5`$|0-l_iE3$aoZ4uvM$+W5~@NzT?cV zFRzu$wS6d$4zk;fTJ0VVxGOE{#|7#X-U1dIY`2*V3)B`C_vZ`gXg35~r~C7-sqzxm zJS~Q+AZrm?ut>-IIkzJSK?x9?u{d4g6BI{n6-alZ*~70R&%a?PmOeO^Pm=9K(1g91 z)B0Gxm7_cP!{X1p0GO#OJ{I%mk#BpT_gMWMQTS=SvsXgi})jFAmAe z@aC3PmplKLS_G92;tOS;SbL>*c@WeJ^L(Yb*`@jad#I081Bb^GB@VUQ92oDUkX(da zuAEY*3>~8bQw*oD!ThfrsW@)Yj-;>~5q`!E!KBJdGF{b~ULTDO)}Su;Dg3>4oVTI*i{)!@BW740RTyd~Xfox6^_C z*zcU-{10mE!MjjHZ{CB_pX6Oc%jE6|ta&Lg^~wldBH58*eILC#pS6&+e~CVL8E!0M!jh?uLd>#R&>~7 z3?HOXadEg>E2|l4{}^7RsN%u^)$9b{P+*Iun0dr^M5v zxAPllN=iFLY}kW~UE^Xk*_+LL4V??;*VDq8yn@bHfSkK6+)D?0@mTpdDYP7Rq|oEb zFkDpjfX;_whL9eQE3M)5juj1*_JMFyD!(J7*yAgx%FcF)$hN4!7}V8;**0$0<~9%q zIPw}C&9x)^-Ta=c@X;k37Uc2nXnOr)cnRm(@nWjA^go7?(ZQF=HV=*CvGV?d=^;en zR~@j7xL*j<9ON)L=^>aN3&hhh~*G&ErTvepJK~A?8&f$aU zEbgyrf^bGSwsD}stt#}oVEl#qHNnPaHneM=)9K3gnQ(3Kdq}r}oxYre%Ye!>24!DkM7j~_iFQ>P?NP!*dfGpTyGaKIR zylb00e5KZper?Zz^Dz_)q5(~X*GDO?L?4PeA44M!gIk%Vex-2w!-wNVYAEF=*;8b? z9`zN?_`h0#pR>qH)7~;ZnqD4?G{|93(UQW~D)>;vn_Z4udTsw!ER_vPt<}@9uxS4;}=TDIbKxU8vOcfjZ_}fBpnlO=A(U`$;B`8d z57wCutbTR8jU0s?UCnK@q=ffcd8!9(o6P^fJ0>Up?iA%LqNnn5ZZfLi@G1OSsok>_ zNwO?lkGWY%Zkfg_Vd0wP5xY)WdNcPzO1n3t)0A78ehYU=by>9reF%MjD;$OLF7u>< zI#_RK*ojT&i=~1rR(GJQT4(fa+>MS`%taD7W4A+d7$4lmH|s>{TfWl1%exoDG=al2 z_*1eg+$Px%%PM1+@_M+DlIp6$vh1o7!%s5N>fgm=)P&?OYm^&_$F;4VbW;Pb*HSM3 zBSOA&Ec{_a8d`Q=xw$S&De6;L0aC_+VEZ`H@SEP5D zF^d;tq%HDb9dvmm&i_4}HqGWMq-OdYSST|VN%t%F(eHQg!5sI!6BNhPSpv@GC3($R zV_G^h;aBdXuz6D5rX;$+#=i^P;yv?s0q?Su`p$<8Zf2@h@Y!h)a567(7JSoU%wQBnK+=zbUF{ZO2j?#+}iQc~u!!rV1b9h`AtKHBS7w^^l z3^o(*--F(2p^0# zeU)vg9VGQ12h5Sux~IAEe$}j6^f)-BYu0QhE&H=<&aSOFEbcV|BM5%xetP=>*$nPH zsJ+7{f|h8!GJuZSz03!ZR-hHN@^-snXJF?6-j{=n4!jt5@i>^AtH+j5Nh$8~FTXb& zSXo@kouamBcu0OpzO$^tRV-FCWzzCcluArSoY8Maws2^1m_)B=ssHhdBoqWm31 zry&LonM1OwGfN9HGBYWnQ5&bakkMH>aH1FD&dRH*z#U$i6|Rp~olbXbTOJNohZg6Q z7Z()dRp?iP)r#WsM!5*TH$tr0)laPdV+iiFF4W%z5aG}!#vyEy!+87&BR9%6pcz%VZpOc?mo?V%pZCESP z4-HVmP!~HQzKb4dHm5YX8m6e<(vJ3}S&g=)%!b+Z(`JvZN;6yR{s+YoZGXa5&#!P* z-gT&Fdowsn94SRRHDmT`q8W6dw<(*!@z$SWm~ODu6_cB&cr)+X%W5<>Ri&U;W0NmM z3i_?Oeh@?AMOt_(x8sDFLHbrR;9iB$WK+aLcI z;>;+>DXj38)2uumgB`UJTL@E+oA$~c`32?OmpvkWb|`-4keONH&GpN}fh&3YHS+4m z0U-rtu1asA2fx`;t>e~ z>le1^!Zyv``p2zq!tB2;-&s{zkxL#}q#zSam>LD{!OW0WOUX8dASJ)F3a5|WBYm#R zu6AV?R;-o=+=S~enz-4pl-eGF*z(Ez`qgWYd#`#45#QUZdCZ@hmE3H+O0 z;#S&S!gDBL3wP}4vV|WE*}a=j45q2C^QTrchU|WWd%8qamM__OB`IPN@esAH|vHu6GvH{-! delta 16492 zcmZ`>2Yl2;_TQN#WC@T$Cyj)JBBAVNcT<3nZ2BgfWYe=znt}z9A}AJwpkg@-fl;1f z0TfSBN)QF^PAPhp^Av);(G)y8#M2Wi|L>dM{x%8vzt2bV`^|fA=KW^A^V-Z_%dZhF zH}`6_jhZGb#{Z%%mZ^B=*Wb^cJcU4Z{~#r$19Ay&&enQm7N@;;HJPRV4E zsbWHshq#s$&zrlba>f<2TsiJ5FPkxEN$q9V&C1BhQuQIW)S7vVW-PjF-rUTbY+H~q zvkPNZPPW<e$nHczRH{asKGL4TY^e-1X}YB4^!_NFUHt^ za|S3uJuh+Hto7Uxm!U*NQ%!Sab!AbBWk1E#$-z6?GxLPC+E?w*FKVh+(Ft)o9ND|1 zR$sk%V8o6=lf1q4xHM!g)L&V8t*IN&(kNBt(G7iR@jNk9eQ&-c%@>L4p|WdvcEO?jcHm_u|!xK%bN3jzQS^~zoJpkP#<4^wXW**i00C!rhs}l ze!Yy{vC@}0(CYU!7MJ_o4Rm}sPwK2nE#kZ6NUuU~eN9biX|W|jsQsS~+Tpu&ct0^$ zxlg5#e~!3BIB7col$BlcSn@eHdGbnmwGL)2Ksal?#@;WFh@wcOC20M*jiFnP}E%S zuBG{r!uZDRYvWamH}Vf^==HRE>&nXO{EfBBeZ*iFM@Q5BOZnTf9eVb7Q}iw?D7P2b zZMF)V(?+=q#h{3?5^qyMv%lH$ti~iS!J$QBBH6B!ZWVR$B$=MBVsiAF^`oz^lOwJ9 z_0=`ijYYLe{1g{aT3_PLFRm)>E?%#fSZ%q#4s$0487od_+hk95@V;d9;P%&)<$JgX zYo)KU%vV~}psJq@ET(Uw7LT(zmlVvqa_+1v$2H|RoHp~tpX0Jo>(wIDSbATQ8ox7+ zC8DG=OSM#c$o9I3Wg;E#FYIdlvk_gWDKz&D7?9r*2U6c_#1P$wdza=4aX20HF+Ncp zyDg0FyGFzZN7(yIBprK0^r7q}GDc)k`4TwYk^ ziD48KB_frteWbOazM;O-*I25SZHN!Gr(4FVLn%XKx02P8HrG5 zM}KVf)>c%NHWpPIJ-gC%&#rXKld9v9Sm|(3b+L?B4P)k5eU)B!S%s&`=+&jRuS}3G z7aa;03F^)zeb-n;54Gv~6DseXG_`xlr<8ZANLamW0*x6a;#8ldslufWeVB$86&+($ z>z2BtE1OpJ7Nb`$TZ~7xF{_uAs)wnMIwXCqIu%V)^R<++4CtS3> zRV1msYirfCn;tM~3{x>H#+a;AS1dOk)2Tg8 zakl>`MYD@5D7GWjELmkSo>p6yi0t2!BusY$Cf5!(mE zOx3a)M6>7qs(tm_Ar6~OEzgS!!(Yo~@S3q|+>&YP=cnS;1^0AFhYhAQK}}s6sfMme zGhnsv-V{hV-~iJCgiF9jt-Udm(Q78CR*Iy}DWbOur(v2AAPW$3^m{wK${lx9#ZybT zjH2J$WCUz(uNWi7IjJ6sxP&Zsn*f3pB2}8}1uBz`!R8!}@ ztu{Xb1puX@_z(w-2e5=!YTbtY#YlVnQS_gl!Rw~~cA*DdO@boZaB zFCT*}p0zAG)o|0aM0IN6yDIl_h&o_s;`z@({=<3=RneQOfwxDwwd45&=|J@IRXkiR zXgi`eNg*9hfE4UbwT%B5Uvp~V0Kja!az2G_VGh~uJDg6-Re)Lx9Xkn6arVefyY4E?W zwGfSL+DzuYYR)z{Ga^jt!kBkBMP;;c4+?RS$;`3Md~OQ_KW2Mh6^yXAZck+fggcsu zkk_snpRRz0hcYK3Fuen2cmJt){Z(UL8?9d0kzy@vcGr0tnyS_E*W#ItgPmA4^_d0W z#ZCb46l=Msz+YNkk&mz>9-S?ikgQfdyEO1LekY~|ZPV1cT~^h9SDMjINgP_VJ~$T5 zPS&dJm$cQpM+F0VRll33PUMVLkMCX z^!Z2?`;SzSp_eXu^4{FdUR_=L92-Qv=$WeHP@?W&a-7(&UVk1G!zej2o#YOI zO>-Cn*reF4@<#MjL-%SPwoQ&qC=uiV(nG{Pb>%+T(|~cxvRN=|2rhIdzo-NIQ(-c( zKf)YY4g*YT)CcjZ?S&uF&U2seN)SGiWmX1<>t-7#T7I-?M`$+oRTsRJ&y4F|sxffH zc~|nw&>=&I*PV=vn*%SWFf-#OFp`nuYtvG?X>fHkK3tLvcag`Nx?zTFrfC2K<2D zIxYX6Vl6H4);3omUjdd_qgB3%YVzwB8IL-ARYzXGkb6PEI#sQI!@(r?E`GGCi3i5; zE3l*{9E?^uCkLB=Dr1qDMma`JJh-gOGXrWP!qenp1Uv}zS5=8~z_j%cwwjF&#fk)J z)9W2C3_bwr1h)P1HE@njI}C4#FiE80RQGlYklS9wWCyJp(n$uI-cUQJ8(b{NBiP*tXpNbDnPuF02kbH|ol=|k> zuKo)Cp zJb>6bU0fZoYUR?Iaqj|QB1M`kr`N#dto?$GC7>dm*&0Yea&8>R-+YNcQX>U_G!6sw z&$T`De$4?lTxW20_{(zD*P`u&|&Z&J4TCJ#`~R z_JBEW|0Pl#JM{w{{|Qx<-@fYtyONGnnc>u^`}Mo?j8elJ9ex!(bBqc!^i`)n8mcyb zrx_VCSWf^b{%gJxiZ#vPgl zZM5?JF9kgctDK*^ZM$~5eEk>0cOjghQTGgfP zS)RJ-ysTLyZkBLVowypQ&ioz-@SO zmq4OAVphGy1chmRVS&2AEqCAivnn`lhq)1Us~5k{Ejg1lW zrOU3fn4{bA)#LhMtRG@TinXDDKA z_7hXA-lD>~W_P_dpiqGmcP%PDxX}(3$y@SL?V}4;tIpy9Y`_cUA zVvQLcQpFJN0*R7_6*9WyRVL%EeM3Z)kS-KHki)o8(!hQ&iE8>+Nd(k?3PMIeSi9{m z4DWG>NHqz(j!1xz$haKZBAYxkKSUg(#}ZiuXS&e~{vHIv1FD9IBszMF{1=HNkpk=6 zwlV>%E{e%Qc2PNFDy_`ICi^%^AokG9Sd}8GIK|Dyd+UHS;`cz^B!Jxz$M&>jxadcb!$md5=6WRFr&#M7s!>NSui+dwF2IhA zA&w3wVTl%w5Owr$uAx>mMfH%QDRHDo>E-tp`YJv3zCe*%x}3`W6PHmY(~Bd;Ht52y z$b4zi1JX)gjuP2Mo6de|{bRBR-E^Kv4=_$$QAw$zg+ssUH;W@eMd3U`^H>I;E$CdyJ zClg#m2@H*_mfm+@^|As;MN-keGDr^8QRqAuy3jdAh%3v%ST;L|+H{<5&%o*;^3_ns z-*z8zaUMO8;L;nJT3jPF3$>;!v5fAi!Afq)5=S{OPX;j8<%m(L#oCvCs71~8_iQMY ztxpn63LC*ldbCaqr=1hfVYYE#&|_l2dQ#p*;bs~5buFW99-XO&8HkvqyVFT7tv4#n2Xm%qdH!WH6_tsvJWZv*gdJa_9Z%t486Wl*tB}OZ>+QVF_=V4Clc0ecI84 zjrPK1pa(7rWqed@dehikn8yqoEpNjVJeMmjuzK9(&9x=@UOpC!H|qWCPKe%gQ=XVl zTm51v^>u>{ry7MtlK8&&n*w7mcb z)WX;#W*xnd|8H0J~HeE zE)c45rG{CK4H1hd&nHr8=#3~7wk;Y+s#FY<8Fp%)4V>Ez!-jVjA=^dbZ93@zme9ah z$*>z%Ex^Eis+O+;T}GFS@{o-5bUHK}ej9(;h<0-JgZIBm53Y{9JBse`a<}Ome}-kG zyDah4F&kB2L(_g72`n`&dj_iZ zNYDu_cQAQ*8BWRYVuTD-QxBV*p&ot-zBkwi%onpD<2{hEn^51=pqH%U+Sb((EeJk*c4~wD0e&MwiG?v0t zm-rdyv7G|*kN2T_n#BTgEW(Zedo-n=FDk5VPf1~AGY&6+cYLFGJ%^5+FD}I620XT0 zpdZES!?>CqgL9=`lsrYu(451#_7g|@g72MBpEgw#(=7nHR)C%QnrY&Ot}jvak7?p2 z-2%+Vs~K-O81J8$aw=)O#+F9!iN`sB_7E0 z|}elU61!fU$D@VEj?kKHBQdRVgtOF4HVHhJnv1VXSYmGEdWSb9xd*6l5t z{(6siR5!ua$Ax5~I(2SYY$Q!t1G9mcnNNl?T^Y1t4T27n6D5oj$YVHU$;7c~H<~VB zgxn8lL?2ojf8jjLaddHvE?f(fgNPl~vCIsY*#lR{=-CG}iOXY|8Af?*2n#Fm?avhR z5QsV}2+Pd0TNIyB(t?M?eH>e+k@I2kHpqv{;^~qNm=xDKMzpSwaYLZOUbY_Q0oTrE zrs+m_?XT7gAM-K%m=8^@8$>NL%}%0YlaS&MeMHQqCD41z?)B6Dvh;5!a(!7Mz`K z9l5N{mBqeNe?=v^_W{F57j;=<&qfhR<0i==YW?luv|_6UK#&xr5`D2WeLrTnVw*?} z&2(DY*jR>SX6r-#r!k&}e|9#7ZWm>&{8jYgc6dgt%~kZp(;|KsDnYo0#>O#hhd9p1 zSNvSE6F6*n31+118F79{md!AfX0wCFK8yW}X2VFLeF7bR7ABQB8K7vnUeotak`w6c zPH}~PTmCZ`6aR*YV(v3m`e>K9T4vex&8d02#gvF*f3v@^qPW@erk+VH(y<%cC5x)Z zUJ$uDfeCLAij6ss`2o#mLJw+wF{&89-Z5XxUYxjw{=QI`X z7gNKax#btV;8{2(K~W-se(NJ$H0TBKWJs2A+8&0#mg(98{r&=Snr}|Qyq^sNe(!uy zyn~Ii;Y{kh2;)2%dL%&g`{v%$FWw*G)vWVWa$`e0}C z^&YKn+v}ntNE#p6m4r{}#kcX?CY>H^hr=+(tvVDOH)+kA4Bo)}uX|Ipm@n$R=qU2N zCFYt9bnLB8D&6>Yr<}UHFAC=G;F@WmBlQCsw!R5XPrW0|=}S^S_Y1U6`^a3~EmsHU zDv3hh3o40%##mIF`D1PGfw{}PC8;UnqA2Q3m^t4^SdS~-G8V7f7bmG{;{)=V-et0q z=)eb^<50_oVwI^kIX>#7sLeA1J!o~I!6>!&(;+6Win|sk2q$rQ=KYU_&1|L7hs6TD z12|wJu7*?YQGA6sBK!yp*ylX_HncV`oE7&dD#&lD_S5piY*?^$x(VVkrr~=aWY~({ z$6zb`UT<}MQCac-BbA5?qE^~>O!y!UN2JG$4qg+bOOB(DvNB&oHSY7B(}%A6PyG}s z_WeZo>Bw0qKlx-Ajg#qxPvD#Lt2_numFH?;N?(eB`KBD@LR8zhgcCewg~wfA=xO+W z#*Ef_A3DK$>#4%PQ>>Lmm1R}M?nbq0r@60C^FG-HZX-X?OJS$qKNme{_h%w4xE^8u z>Exq6`qbwnbUX5&E`5@*GK_-->B4;Mta)ItM!3M<&v`Z^c8jCKA6%n6It+HK*XokNgf; z^gJy-r6qAVVLw|HO}#qA21*+!qt&_rDE53O&SQV>Am?|&r`u^=ZwP^Kt-*Hey9A2p z2pD;o^L!dIL?#<%Jo0;F73eyKT7QNUo%}!ibMkv6r`b3WD@&kbzv6q$U64%A{Q&P= zfJH8t+C(#FDoGuP~E{)Xu|_@lU+xjU)? z+>xxp&NDz@Q*nu>p{%@wW6HRIem!Inz4J3F8W;T}ZlEKvn6lr05>q4G^&W4d*Iyin zUW4kqLkr>!o)~)YDMX=sag_G_g6{Kc3JaSWak;7EKpZtEVugV=x|JM_tk!o~ide;1$X3N8Zjdk;!C zF1`=Ew^mk$WaG9!7ZrU{>gdZs=L!501w~$6UPSW*Iw52(TGYCi(wOghes;g1-EBNA z4TWPz1tW|SLSz&7#}-IOT(NXRD8l)pA+m)LzG#HTZFZ4xs|$9GBS+!@%K^

XFb#-%dQH7@)&rG`5 zDsMnYoqQ4j1Fq}B`tzC5#GWz*8K=LdsIDYm=LmnM#?gh?)2DjMt1*%erS&JEC^DL! zkB})5b;T8p1)lm^Gk#>n5I>IPV7@mcJ%{b-?IrJGJ+(o@_E)E{-y)nM0pr`_e z`DI2B#=<%X(}UcJUvW^&R+yxBdK*jCg@`m;2jau%p+0h$*4)4ZUn>g4Wx#~KZWxyB zv|E;GNL*JUXVY_8NXz_D@)xGZoJ^pF(X!U4v%McJ-67ddBQ@mvJyahfbq%hwOxG48 zQ?%sFF|@6pDS2^US*9fsi?8V`>x}*i`pLjfarB~}T+fPX~GK>}tl8+b- zNw~)vukt1)7=)__YXaGCkbH9x_ej=`rE7=CwYuwennl0H0=0L?N}Nd=H+X)Fl^0lR zN_{0I75OzZBTmK!c=_TjQo1;~mM^ZrH{&MgLTiP;thuZ{zfwCKd?iMjMk{a|R7-JX z;SQ-q7tWk_v{(mAdIvio~%V*^98TBa`G-JZ~5$ zaa`!4uB3y0`4?K3EV-b-H!89-=-U*@S9-K${4huwC4+2~3|^v+6p1>oK}<=Jo8jg< zhND|#hF!b;>H>88bSkyR)%G=>d1-3fyb`GQ8G_J76~R~vn?H_Ebf3L($Vwe zyKJ}gpvECp9DlPWMyJW2>A8G3m;K`;3ijNZvSz$2q1$K47P60(sq|h!cQUnJEMK7| z<6xjKY{pdow@?l{N4(vj^evXda5?}rjh^+5lBG0%xD2Jev(W3l3Ng}J;VrIe^fcAd zjAm@VoD%fLrvd>T`IgF6T|}zVrA_ya;9%7SGEo{={>)GMYmhaGZ~`{U+E`HQc30;6 zb?*`v0GD4bahK2W$5~3FDObo_t;J1szG9!dR108`mdj;gs6FG)_&2&_tJX#0AkOGF z+w!tG`x;G8WXRP93r^rP3vLOvbz|9@DVGFTkp8lEIDb>ru?AJ76D8Pk(W@{eJD!lm zxKY6MtL0g8B7L*~ll4KCJf>#ZVlfFidt5(3axLn3Bn-yb3380sL>n5huDA`3d@?}& z*9199v}=MiQ%X(3H@qZ#vh>5;x#yyohji_GDO+ z!t$!R;-cDO?WMY~r{;EH=R2zOMJ}9LV^eiwv)fb1F#)4M2X0A|r_)MV9Ww(5JE=L4iT`XV0 z-3_A?m$TDxT~2?$P4-p$Ug}LDrSccrHwQBs=P_N)a*r&88OHs0>hK_%>2|bqewiFD zUHTUMXxdz6%JeOl#b`!hA&s__nXc^1a+$}>y0YHM{G3--GBaNeKab zKBx}RCG=!1V44?$2rREwR=@)3;t*P=Sc|IMjrg@<2}RZ6w^9eF!t_^3xDDNhy!9|^ z?RBz-3%WUcFv7#^dG&d0K51+bFkgi&H-2mAid`ErxYLgg3MhW~bvO{Q_F@@Bef3OYx< z2^Kaq+h+MFD32H_j^M~1qSaF|2EsQD>THMQ-`#oO(C(sj({wl5hGu72-ssK(xAu%g zMbzja+mcJw7i%rqrNs*xf1ykbVM_vr_%J+JzSA9GS#W!XJ=@cC(M6g^?>y#lSqAD} z0z7bV@6tbJY92k8@#qlO8)w1cb1y+>w^7>dJeRn2VP7)~Q?9A(>%I!H+x1LB6c61* zU!lh?<-YBDE-^lGjD*hdw*|eC;p-@LHnswO=ZSeu2(croU5^#eL+RfwEb8P}N8cSu z&6jiM_{k$rfPM(_+X=VVI~}9p?Wk>z(LW|UA%`f~_8&i^1>0qR+$p{4IeC+GJ-zGa=VTA@f2}0BaR2}S