Примеры кода для A-C4E6E10.
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

363 lines
27 KiB

3 years ago
Analysis & Synthesis report for template
Sun May 16 23:32:47 2021
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Analysis & Synthesis Summary
3. Analysis & Synthesis Settings
4. Parallel Compilation
5. Analysis & Synthesis Source Files Read
6. Analysis & Synthesis Resource Usage Summary
7. Analysis & Synthesis Resource Utilization by Entity
8. Registers Removed During Synthesis
9. General Register Statistics
10. Inverted Register Statistics
11. Multiplexer Restructuring Statistics (Restructuring Performed)
12. Port Connectivity Checks: "seg7x8_dp:my"
13. Post-Synthesis Netlist Statistics for Top Partition
14. Elapsed Time Per Partition
15. Analysis & Synthesis Messages
16. Analysis & Synthesis Suppressed Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2020 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+----------------------------------------------------------------------------------+
; Analysis & Synthesis Summary ;
+------------------------------------+---------------------------------------------+
; Analysis & Synthesis Status ; Successful - Sun May 16 23:32:47 2021 ;
; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
; Revision Name ; template ;
; Top-level Entity Name ; top ;
; Family ; Cyclone IV E ;
; Total logic elements ; 194 ;
; Total combinational functions ; 178 ;
; Dedicated logic registers ; 122 ;
; Total registers ; 122 ;
; Total pins ; 37 ;
; Total virtual pins ; 0 ;
; Total memory bits ; 0 ;
; Embedded Multiplier 9-bit elements ; 0 ;
; Total PLLs ; 0 ;
+------------------------------------+---------------------------------------------+
+------------------------------------------------------------------------------------------------------------+
; Analysis & Synthesis Settings ;
+------------------------------------------------------------------+--------------------+--------------------+
; Option ; Setting ; Default Value ;
+------------------------------------------------------------------+--------------------+--------------------+
; Device ; EP4CE10E22C8 ; ;
; Top-level entity name ; top ; template ;
; Family name ; Cyclone IV E ; Cyclone V ;
; Use smart compilation ; Off ; Off ;
; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ;
; Enable compact report table ; Off ; Off ;
; Restructure Multiplexers ; Auto ; Auto ;
; Create Debugging Nodes for IP Cores ; Off ; Off ;
; Preserve fewer node names ; On ; On ;
; Intel FPGA IP Evaluation Mode ; Enable ; Enable ;
; Verilog Version ; Verilog_2001 ; Verilog_2001 ;
; VHDL Version ; VHDL_1993 ; VHDL_1993 ;
; State Machine Processing ; Auto ; Auto ;
; Safe State Machine ; Off ; Off ;
; Extract Verilog State Machines ; On ; On ;
; Extract VHDL State Machines ; On ; On ;
; Ignore Verilog initial constructs ; Off ; Off ;
; Iteration limit for constant Verilog loops ; 5000 ; 5000 ;
; Iteration limit for non-constant Verilog loops ; 250 ; 250 ;
; Add Pass-Through Logic to Inferred RAMs ; On ; On ;
; Infer RAMs from Raw Logic ; On ; On ;
; Parallel Synthesis ; On ; On ;
; DSP Block Balancing ; Auto ; Auto ;
; NOT Gate Push-Back ; On ; On ;
; Power-Up Don't Care ; On ; On ;
; Remove Redundant Logic Cells ; Off ; Off ;
; Remove Duplicate Registers ; On ; On ;
; Ignore CARRY Buffers ; Off ; Off ;
; Ignore CASCADE Buffers ; Off ; Off ;
; Ignore GLOBAL Buffers ; Off ; Off ;
; Ignore ROW GLOBAL Buffers ; Off ; Off ;
; Ignore LCELL Buffers ; Off ; Off ;
; Ignore SOFT Buffers ; On ; On ;
; Limit AHDL Integers to 32 Bits ; Off ; Off ;
; Optimization Technique ; Balanced ; Balanced ;
; Carry Chain Length ; 70 ; 70 ;
; Auto Carry Chains ; On ; On ;
; Auto Open-Drain Pins ; On ; On ;
; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ;
; Auto ROM Replacement ; On ; On ;
; Auto RAM Replacement ; On ; On ;
; Auto DSP Block Replacement ; On ; On ;
; Auto Shift Register Replacement ; Auto ; Auto ;
; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ;
; Auto Clock Enable Replacement ; On ; On ;
; Strict RAM Replacement ; Off ; Off ;
; Allow Synchronous Control Signals ; On ; On ;
; Force Use of Synchronous Clear Signals ; Off ; Off ;
; Auto RAM Block Balancing ; On ; On ;
; Auto RAM to Logic Cell Conversion ; Off ; Off ;
; Auto Resource Sharing ; Off ; Off ;
; Allow Any RAM Size For Recognition ; Off ; Off ;
; Allow Any ROM Size For Recognition ; Off ; Off ;
; Allow Any Shift Register Size For Recognition ; Off ; Off ;
; Use LogicLock Constraints during Resource Balancing ; On ; On ;
; Ignore translate_off and synthesis_off directives ; Off ; Off ;
; Timing-Driven Synthesis ; On ; On ;
; Report Parameter Settings ; On ; On ;
; Report Source Assignments ; On ; On ;
; Report Connectivity Checks ; On ; On ;
; Ignore Maximum Fan-Out Assignments ; Off ; Off ;
; Synchronization Register Chain Length ; 2 ; 2 ;
; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ;
; HDL message level ; Level2 ; Level2 ;
; Suppress Register Optimization Related Messages ; Off ; Off ;
; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ;
; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ;
; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ;
; Clock MUX Protection ; On ; On ;
; Auto Gated Clock Conversion ; Off ; Off ;
; Block Design Naming ; Auto ; Auto ;
; SDC constraint protection ; Off ; Off ;
; Synthesis Effort ; Auto ; Auto ;
; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ;
; Pre-Mapping Resynthesis Optimization ; Off ; Off ;
; Analysis & Synthesis Message Level ; Medium ; Medium ;
; Disable Register Merging Across Hierarchies ; Auto ; Auto ;
; Resource Aware Inference For Block RAM ; On ; On ;
+------------------------------------------------------------------+--------------------+--------------------+
+------------------------------------------+
; Parallel Compilation ;
+----------------------------+-------------+
; Processors ; Number ;
+----------------------------+-------------+
; Number detected on machine ; 8 ;
; Maximum allowed ; 4 ;
; ; ;
; Average used ; 1.00 ;
; Maximum used ; 4 ;
; ; ;
; Usage by Processor ; % Time Used ;
; Processor 1 ; 100.0% ;
; Processor 2 ; 0.0% ;
; Processors 3-4 ; 0.0% ;
+----------------------------+-------------+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------+
; Analysis & Synthesis Source Files Read ;
+----------------------------------+-----------------+------------------------+-------------------------------------------------------------------+---------+
; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ;
+----------------------------------+-----------------+------------------------+-------------------------------------------------------------------+---------+
; top.v ; yes ; User Verilog HDL File ; /home/zen/tmp/a-c4e6e10_exemple/template/top.v ; ;
; output_files/seg7x8_dp.v ; yes ; User Verilog HDL File ; /home/zen/tmp/a-c4e6e10_exemple/template/output_files/seg7x8_dp.v ; ;
+----------------------------------+-----------------+------------------------+-------------------------------------------------------------------+---------+
+-------------------------------------------------------------+
; Analysis & Synthesis Resource Usage Summary ;
+---------------------------------------------+---------------+
; Resource ; Usage ;
+---------------------------------------------+---------------+
; Estimated Total logic elements ; 194 ;
; ; ;
; Total combinational functions ; 178 ;
; Logic element usage by number of LUT inputs ; ;
; -- 4 input functions ; 53 ;
; -- 3 input functions ; 10 ;
; -- <=2 input functions ; 115 ;
; ; ;
; Logic elements by mode ; ;
; -- normal mode ; 86 ;
; -- arithmetic mode ; 92 ;
; ; ;
; Total registers ; 122 ;
; -- Dedicated logic registers ; 122 ;
; -- I/O registers ; 0 ;
; ; ;
; I/O pins ; 37 ;
; ; ;
; Embedded Multiplier 9-bit elements ; 0 ;
; ; ;
; Maximum fan-out node ; CLK_50M~input ;
; Maximum fan-out ; 66 ;
; Total fan-out ; 778 ;
; Average fan-out ; 2.08 ;
+---------------------------------------------+---------------+
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Analysis & Synthesis Resource Utilization by Entity ;
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+
; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ;
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+
; |top ; 178 (88) ; 122 (77) ; 0 ; 0 ; 0 ; 0 ; 37 ; 0 ; |top ; top ; work ;
; |seg7x8_dp:my| ; 90 (90) ; 45 (45) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |top|seg7x8_dp:my ; seg7x8_dp ; work ;
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+--------------------------------------------------------------------------------+
; Registers Removed During Synthesis ;
+---------------------------------------+----------------------------------------+
; Register name ; Reason for Removal ;
+---------------------------------------+----------------------------------------+
; seg7x8_dp:my|DP ; Stuck at GND due to stuck port data_in ;
; Total Number of Removed Registers = 1 ; ;
+---------------------------------------+----------------------------------------+
+------------------------------------------------------+
; General Register Statistics ;
+----------------------------------------------+-------+
; Statistic ; Value ;
+----------------------------------------------+-------+
; Total registers ; 122 ;
; Number of registers using Synchronous Clear ; 0 ;
; Number of registers using Synchronous Load ; 0 ;
; Number of registers using Asynchronous Clear ; 0 ;
; Number of registers using Asynchronous Load ; 0 ;
; Number of registers using Clock Enable ; 4 ;
; Number of registers using Preset ; 0 ;
+----------------------------------------------+-------+
+--------------------------------------------------+
; Inverted Register Statistics ;
+----------------------------------------+---------+
; Inverted Register ; Fan out ;
+----------------------------------------+---------+
; j[0] ; 3 ;
; seg7x8_dp:my|j[0] ; 5 ;
; Total number of inverted registers = 2 ; ;
+----------------------------------------+---------+
+------------------------------------------------------------------------------------------------------------------------------------------+
; Multiplexer Restructuring Statistics (Restructuring Performed) ;
+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
; 256:1 ; 4 bits ; 680 LEs ; 20 LEs ; 660 LEs ; Yes ; |top|seg7x8_dp:my|n[3] ;
+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
+------------------------------------------+
; Port Connectivity Checks: "seg7x8_dp:my" ;
+------+-------+----------+----------------+
; Port ; Type ; Severity ; Details ;
+------+-------+----------+----------------+
; dp ; Input ; Info ; Stuck at GND ;
+------+-------+----------+----------------+
+-----------------------------------------------------+
; Post-Synthesis Netlist Statistics for Top Partition ;
+-----------------------+-----------------------------+
; Type ; Count ;
+-----------------------+-----------------------------+
; boundary_port ; 37 ;
; cycloneiii_ff ; 122 ;
; ENA ; 4 ;
; plain ; 118 ;
; cycloneiii_lcell_comb ; 198 ;
; arith ; 92 ;
; 2 data inputs ; 91 ;
; 3 data inputs ; 1 ;
; normal ; 106 ;
; 0 data inputs ; 1 ;
; 1 data inputs ; 25 ;
; 2 data inputs ; 18 ;
; 3 data inputs ; 9 ;
; 4 data inputs ; 53 ;
; ; ;
; Max LUT depth ; 6.00 ;
; Average LUT depth ; 3.37 ;
+-----------------------+-----------------------------+
+-------------------------------+
; Elapsed Time Per Partition ;
+----------------+--------------+
; Partition Name ; Elapsed Time ;
+----------------+--------------+
; Top ; 00:00:00 ;
+----------------+--------------+
+-------------------------------+
; Analysis & Synthesis Messages ;
+-------------------------------+
Info: *******************************************************************
Info: Running Quartus Prime Analysis & Synthesis
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
Info: Processing started: Sun May 16 23:32:38 2021
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off template -c template
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
Info (12021): Found 1 design units, including 1 entities, in source file top.v
Info (12023): Found entity 1: top File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 1
Info (12021): Found 1 design units, including 1 entities, in source file output_files/seg7x8_dp.v
Info (12023): Found entity 1: seg7x8_dp File: /home/zen/tmp/a-c4e6e10_exemple/template/output_files/seg7x8_dp.v Line: 1
Info (12127): Elaborating entity "top" for the top level hierarchy
Info (12128): Elaborating entity "seg7x8_dp" for hierarchy "seg7x8_dp:my" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 16
Info (10264): Verilog HDL Case Statement information at seg7x8_dp.v(54): all case item expressions in this case statement are onehot File: /home/zen/tmp/a-c4e6e10_exemple/template/output_files/seg7x8_dp.v Line: 54
Info (10264): Verilog HDL Case Statement information at seg7x8_dp.v(66): all case item expressions in this case statement are onehot File: /home/zen/tmp/a-c4e6e10_exemple/template/output_files/seg7x8_dp.v Line: 66
Warning (13024): Output pins are stuck at VCC or GND
Warning (13410): Pin "HEX_S[7]" is stuck at VCC File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 7
Info (286030): Timing-Driven Synthesis is running
Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
Warning (21074): Design contains 8 input pin(s) that do not drive logic
Warning (15610): No output dependent on input pin "SW[1]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[2]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[3]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[4]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[5]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[6]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[7]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Warning (15610): No output dependent on input pin "SW[8]" File: /home/zen/tmp/a-c4e6e10_exemple/template/top.v Line: 2
Info (21057): Implemented 233 device resources after synthesis - the final resource count might be different
Info (21058): Implemented 9 input pins
Info (21059): Implemented 28 output pins
Info (21061): Implemented 196 logic cells
Info (144001): Generated suppressed messages file /home/zen/tmp/a-c4e6e10_exemple/template/output_files/template.map.smsg
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings
Info: Peak virtual memory: 433 megabytes
Info: Processing ended: Sun May 16 23:32:47 2021
Info: Elapsed time: 00:00:09
Info: Total CPU time (on all processors): 00:00:21
+------------------------------------------+
; Analysis & Synthesis Suppressed Messages ;
+------------------------------------------+
The suppressed messages can be found in /home/zen/tmp/a-c4e6e10_exemple/template/output_files/template.map.smsg.