Compare commits

..

2 Commits

Author SHA1 Message Date
Zen 8b5f90f3fb add res 4 years ago
Zen ac434f620e first commit 4 years ago
  1. 6
      .gitignore
  2. BIN
      CAMtastic1.Cam
  3. BIN
      CONN_Degson.SchLib
  4. BIN
      Connector_out.SchDoc
  5. BIN
      Connector_sensor.SchDoc
  6. BIN
      Connector_stepper.SchDoc
  7. BIN
      Controller.SchDoc
  8. 1210
      DisysCNC.Annotation
  9. 312
      DisysCNC.PrjPcb
  10. 8
      DisysCNC.PrjPcbStructure
  11. BIN
      DisysCNC_PCB.PcbDoc
  12. 181
      Job1.OutJob
  13. BIN
      Main.SchDoc
  14. BIN
      Opto_isolator_INPUT.SchDoc
  15. BIN
      Opto_isolator_OUTPUT.SchDoc
  16. BIN
      Power.SchDoc
  17. 7
      README.md
  18. 442
      cnc.ioc
  19. BIN
      res.jpg

6
.gitignore vendored

@ -1,6 +0,0 @@
/__Previews/
/History/
/Project Logs for DisysCNC/
/Project Outputs for DisysCNC/
/DisysCNC_PCB.html
/DisysCNC_PCB.txt

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

File diff suppressed because it is too large Load Diff

@ -1,4 +1,4 @@
[Design] [Design]
Version=1.0 Version=1.0
HierarchyMode=0 HierarchyMode=0
ChannelRoomNamingStyle=0 ChannelRoomNamingStyle=0
@ -47,7 +47,7 @@ AnnotateScope=All
AnnotateOrder=0 AnnotateOrder=0
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -64,7 +64,7 @@ AnnotateScope=All
AnnotateOrder=1 AnnotateOrder=1
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -98,7 +98,7 @@ AnnotateScope=All
AnnotateOrder=2 AnnotateOrder=2
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -115,7 +115,7 @@ AnnotateScope=All
AnnotateOrder=3 AnnotateOrder=3
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -132,7 +132,7 @@ AnnotateScope=All
AnnotateOrder=4 AnnotateOrder=4
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -149,7 +149,7 @@ AnnotateScope=All
AnnotateOrder=5 AnnotateOrder=5
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -166,7 +166,7 @@ AnnotateScope=All
AnnotateOrder=6 AnnotateOrder=6
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -183,7 +183,7 @@ AnnotateScope=All
AnnotateOrder=7 AnnotateOrder=7
DoLibraryUpdate=1 DoLibraryUpdate=1
DoDatabaseUpdate=1 DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0 ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=0 ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None ClassGenNCAutoScope=None
DItemRevisionGUID= DItemRevisionGUID=
@ -224,96 +224,6 @@ DItemRevisionGUID=
GenerateClassCluster=0 GenerateClassCluster=0
DocumentUniqueId=PLEJQGJT DocumentUniqueId=PLEJQGJT
[Document12]
DocumentPath=Job1.OutJob
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[Document13]
DocumentPath=README.md
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[GeneratedDocument1]
DocumentPath=Project Outputs for DisysCNC\Design Rule Check - DisysCNC_PCB.html
DItemRevisionGUID=
[GeneratedDocument2]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.DRR
DItemRevisionGUID=
[GeneratedDocument3]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.EXTREP
DItemRevisionGUID=
[GeneratedDocument4]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GBL
DItemRevisionGUID=
[GeneratedDocument5]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GBO
DItemRevisionGUID=
[GeneratedDocument6]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GBS
DItemRevisionGUID=
[GeneratedDocument7]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GKO
DItemRevisionGUID=
[GeneratedDocument8]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GTL
DItemRevisionGUID=
[GeneratedDocument9]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GTO
DItemRevisionGUID=
[GeneratedDocument10]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.GTS
DItemRevisionGUID=
[GeneratedDocument11]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.LDP
DItemRevisionGUID=
[GeneratedDocument12]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.REP
DItemRevisionGUID=
[GeneratedDocument13]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.RUL
DItemRevisionGUID=
[GeneratedDocument14]
DocumentPath=Project Outputs for DisysCNC\DisysCNC_PCB.TXT
DItemRevisionGUID=
[Configuration1] [Configuration1]
Name=Sources Name=Sources
ParameterCount=0 ParameterCount=0
@ -327,7 +237,7 @@ ConfigurationType=Source
[OutputGroup1] [OutputGroup1]
Name=Netlist Outputs Name=Netlist Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=CadnetixNetlist OutputType1=CadnetixNetlist
OutputName1=Cadnetix Netlist OutputName1=Cadnetix Netlist
@ -429,42 +339,12 @@ OutputName20=WireList Netlist
OutputDocumentPath20= OutputDocumentPath20=
OutputVariantName20= OutputVariantName20=
OutputDefault20=0 OutputDefault20=0
OutputType21=SIMetrixNetlist
OutputName21=SIMetrix
OutputDocumentPath21=
OutputVariantName21=
OutputDefault21=0
OutputType22=SIMPLISNetlist
OutputName22=SIMPLIS
OutputDocumentPath22=
OutputVariantName22=
OutputDefault22=0
OutputType23=XSpiceNetlist
OutputName23=XSpice Netlist
OutputDocumentPath23=
OutputVariantName23=
OutputDefault23=0
[OutputGroup2] [OutputGroup2]
Name=Simulator Outputs Name=Simulator Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=AdvSimNetlist
OutputName1=Mixed Sim
OutputDocumentPath1=
OutputVariantName1=
OutputDefault1=0
OutputType2=SIMetrixSimulation
OutputName2=SIMetrix
OutputDocumentPath2=
OutputVariantName2=
OutputDefault2=0
OutputType3=SIMPLISSimulation
OutputName3=SIMPLIS
OutputDocumentPath3=
OutputVariantName3=
OutputDefault3=0
[OutputGroup3] [OutputGroup3]
Name=Documentation Outputs Name=Documentation Outputs
@ -543,7 +423,7 @@ PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|Print
[OutputGroup4] [OutputGroup4]
Name=Assembly Outputs Name=Assembly Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=Assembly OutputType1=Assembly
OutputName1=Assembly Drawings OutputName1=Assembly Drawings
@ -565,7 +445,7 @@ OutputDefault3=0
[OutputGroup5] [OutputGroup5]
Name=Fabrication Outputs Name=Fabrication Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=Board Stack Report OutputType1=Board Stack Report
OutputName1=Report Board Stack OutputName1=Report Board Stack
@ -637,7 +517,7 @@ OutputDefault12=0
[OutputGroup6] [OutputGroup6]
Name=Report Outputs Name=Report Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=BOM_PartType OutputType1=BOM_PartType
OutputName1=Bill of Materials OutputName1=Bill of Materials
@ -674,7 +554,7 @@ OutputDefault6=0
[OutputGroup7] [OutputGroup7]
Name=Other Outputs Name=Other Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=Text Print OutputType1=Text Print
OutputName1=Text Print OutputName1=Text Print
@ -854,7 +734,7 @@ PageOptions29=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|Print
[OutputGroup8] [OutputGroup8]
Name=Validation Outputs Name=Validation Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=BOM_Violations OutputType1=BOM_Violations
OutputName1=BOM Checks Report OutputName1=BOM Checks Report
@ -898,7 +778,7 @@ OutputDefault7=0
[OutputGroup9] [OutputGroup9]
Name=Export Outputs Name=Export Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=AutoCAD dwg/dxf PCB OutputType1=AutoCAD dwg/dxf PCB
OutputName1=AutoCAD dwg/dxf File PCB OutputName1=AutoCAD dwg/dxf File PCB
@ -959,7 +839,7 @@ OutputDefault11=0
[OutputGroup10] [OutputGroup10]
Name=PostProcess Outputs Name=PostProcess Outputs
Description= Description=
TargetPrinter=PDFCreator TargetPrinter=HP LaserJet CP 1025
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1 PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=Copy Files OutputType1=Copy Files
OutputName1=Copy Files OutputName1=Copy Files
@ -1312,147 +1192,147 @@ MatchStrictly2=1
PhysicalNamingFormat=$ComponentPrefix$GlobalIndex PhysicalNamingFormat=$ComponentPrefix$GlobalIndex
GlobalIndexSortOrder=3 GlobalIndexSortOrder=3
GlobalIndexSortLocation=0 GlobalIndexSortLocation=0
UniqueIDPath0=\BPXYAMLZ\FELRLFUS UniqueIDPath0=\JANKFIMP\CNMDFGEL
DocumentName0=Opto_isolator_OUTPUT.SchDoc DocumentName0=Opto_isolator_INPUT.SchDoc
IsEnabled0=1 IsEnabled0=1
SelectionScope0=All SelectionScope0=All
Order0=29 Order0=11
IndexEnabled0=0 IndexEnabled0=0
IndexStartValue0=1 IndexStartValue0=1
Suffix0= Suffix0=
UniqueIDPath1=\BPXYAMLZ\KFXCXOSQ UniqueIDPath1=\BPXYAMLZ
DocumentName1=Opto_isolator_OUTPUT.SchDoc DocumentName1=Connector_out.SchDoc
IsEnabled1=1 IsEnabled1=1
SelectionScope1=All SelectionScope1=All
Order1=18 Order1=1
IndexEnabled1=0 IndexEnabled1=0
IndexStartValue1=1 IndexStartValue1=1
Suffix1= Suffix1=
UniqueIDPath2=\BPXYAMLZ\IZSHSRRX UniqueIDPath2=\JANKFIMP\CKHULMWR
DocumentName2=Opto_isolator_OUTPUT.SchDoc DocumentName2=Opto_isolator_INPUT.SchDoc
IsEnabled2=1 IsEnabled2=1
SelectionScope2=All SelectionScope2=All
Order2=15 Order2=7
IndexEnabled2=0 IndexEnabled2=0
IndexStartValue2=1 IndexStartValue2=1
Suffix2= Suffix2=
UniqueIDPath3=\BPXYAMLZ\SJLJUKOH UniqueIDPath3=\JANKFIMP\NAIFGAPM
DocumentName3=Opto_isolator_OUTPUT.SchDoc DocumentName3=Opto_isolator_INPUT.SchDoc
IsEnabled3=1 IsEnabled3=1
SelectionScope3=All SelectionScope3=All
Order3=25 Order3=10
IndexEnabled3=0 IndexEnabled3=0
IndexStartValue3=1 IndexStartValue3=1
Suffix3= Suffix3=
UniqueIDPath4=\CSNUPCDW UniqueIDPath4=\PCYNFSRK
DocumentName4=Power.SchDoc DocumentName4=Controller.SchDoc
IsEnabled4=1 IsEnabled4=1
SelectionScope4=All SelectionScope4=All
Order4=19 Order4=4
IndexEnabled4=0 IndexEnabled4=0
IndexStartValue4=1 IndexStartValue4=1
Suffix4= Suffix4=
UniqueIDPath5=\SDQBEMWM UniqueIDPath5=
DocumentName5=Connector_stepper.SchDoc DocumentName5=Main.SchDoc
IsEnabled5=1 IsEnabled5=1
SelectionScope5=All SelectionScope5=All
Order5=3 Order5=5
IndexEnabled5=0 IndexEnabled5=0
IndexStartValue5=1 IndexStartValue5=1
Suffix5= Suffix5=
UniqueIDPath6=\PCYNFSRK UniqueIDPath6=\JANKFIMP\QFLYQMAS
DocumentName6=Controller.SchDoc DocumentName6=Opto_isolator_INPUT.SchDoc
IsEnabled6=1 IsEnabled6=1
SelectionScope6=All SelectionScope6=All
Order6=4 Order6=12
IndexEnabled6=0 IndexEnabled6=0
IndexStartValue6=1 IndexStartValue6=1
Suffix6= Suffix6=
UniqueIDPath7=\BPXYAMLZ\NZNMVOSA UniqueIDPath7=\BPXYAMLZ\OIPOXSGF
DocumentName7=Opto_isolator_INPUT.SchDoc DocumentName7=Opto_isolator_OUTPUT.SchDoc
IsEnabled7=1 IsEnabled7=1
SelectionScope7=All SelectionScope7=All
Order7=28 Order7=16
IndexEnabled7=0 IndexEnabled7=0
IndexStartValue7=1 IndexStartValue7=1
Suffix7= Suffix7=
UniqueIDPath8=\BPXYAMLZ\PESAFFAA UniqueIDPath8=\JANKFIMP\XHEDVTGS
DocumentName8=Opto_isolator_OUTPUT.SchDoc DocumentName8=Opto_isolator_INPUT.SchDoc
IsEnabled8=1 IsEnabled8=1
SelectionScope8=All SelectionScope8=All
Order8=17 Order8=6
IndexEnabled8=0 IndexEnabled8=0
IndexStartValue8=1 IndexStartValue8=1
Suffix8= Suffix8=
UniqueIDPath9=\JANKFIMP\GXVLWJSB UniqueIDPath9=\BPXYAMLZ\QIQMTRNL
DocumentName9=Opto_isolator_INPUT.SchDoc DocumentName9=Opto_isolator_OUTPUT.SchDoc
IsEnabled9=1 IsEnabled9=1
SelectionScope9=All SelectionScope9=All
Order9=20 Order9=14
IndexEnabled9=0 IndexEnabled9=0
IndexStartValue9=1 IndexStartValue9=1
Suffix9= Suffix9=
UniqueIDPath10=\BPXYAMLZ\OIPOXSGF UniqueIDPath10=\CSNUPCDW
DocumentName10=Opto_isolator_OUTPUT.SchDoc DocumentName10=Power.SchDoc
IsEnabled10=1 IsEnabled10=1
SelectionScope10=All SelectionScope10=All
Order10=16 Order10=19
IndexEnabled10=0 IndexEnabled10=0
IndexStartValue10=1 IndexStartValue10=1
Suffix10= Suffix10=
UniqueIDPath11=\JANKFIMP\QFLYQMAS UniqueIDPath11=\BPXYAMLZ\IZSHSRRX
DocumentName11=Opto_isolator_INPUT.SchDoc DocumentName11=Opto_isolator_OUTPUT.SchDoc
IsEnabled11=1 IsEnabled11=1
SelectionScope11=All SelectionScope11=All
Order11=12 Order11=15
IndexEnabled11=0 IndexEnabled11=0
IndexStartValue11=1 IndexStartValue11=1
Suffix11= Suffix11=
UniqueIDPath12=\JANKFIMP\CKHULMWR UniqueIDPath12=\BPXYAMLZ\CGLDZRTC
DocumentName12=Opto_isolator_INPUT.SchDoc DocumentName12=Opto_isolator_OUTPUT.SchDoc
IsEnabled12=1 IsEnabled12=1
SelectionScope12=All SelectionScope12=All
Order12=7 Order12=13
IndexEnabled12=0 IndexEnabled12=0
IndexStartValue12=1 IndexStartValue12=1
Suffix12= Suffix12=
UniqueIDPath13=\BPXYAMLZ\ZCPQGHVN UniqueIDPath13=\JANKFIMP
DocumentName13=Opto_isolator_OUTPUT.SchDoc DocumentName13=Connector_sensor.SchDoc
IsEnabled13=1 IsEnabled13=1
SelectionScope13=All SelectionScope13=All
Order13=24 Order13=2
IndexEnabled13=0 IndexEnabled13=0
IndexStartValue13=1 IndexStartValue13=1
Suffix13= Suffix13=
UniqueIDPath14= UniqueIDPath14=\SDQBEMWM
DocumentName14=Main.SchDoc DocumentName14=Connector_stepper.SchDoc
IsEnabled14=1 IsEnabled14=1
SelectionScope14=All SelectionScope14=All
Order14=5 Order14=3
IndexEnabled14=0 IndexEnabled14=0
IndexStartValue14=1 IndexStartValue14=1
Suffix14= Suffix14=
UniqueIDPath15=\JANKFIMP\XHEDVTGS UniqueIDPath15=\JANKFIMP\WICSGKDS
DocumentName15=Opto_isolator_INPUT.SchDoc DocumentName15=Opto_isolator_INPUT.SchDoc
IsEnabled15=1 IsEnabled15=1
SelectionScope15=All SelectionScope15=All
Order15=6 Order15=9
IndexEnabled15=0 IndexEnabled15=0
IndexStartValue15=1 IndexStartValue15=1
Suffix15= Suffix15=
UniqueIDPath16=\JANKFIMP\CNMDFGEL UniqueIDPath16=\BPXYAMLZ\PESAFFAA
DocumentName16=Opto_isolator_INPUT.SchDoc DocumentName16=Opto_isolator_OUTPUT.SchDoc
IsEnabled16=1 IsEnabled16=1
SelectionScope16=All SelectionScope16=All
Order16=11 Order16=17
IndexEnabled16=0 IndexEnabled16=0
IndexStartValue16=1 IndexStartValue16=1
Suffix16= Suffix16=
UniqueIDPath17=\BPXYAMLZ\XTKUGUHT UniqueIDPath17=\BPXYAMLZ\KFXCXOSQ
DocumentName17=Opto_isolator_OUTPUT.SchDoc DocumentName17=Opto_isolator_OUTPUT.SchDoc
IsEnabled17=1 IsEnabled17=1
SelectionScope17=All SelectionScope17=All
Order17=26 Order17=18
IndexEnabled17=0 IndexEnabled17=0
IndexStartValue17=1 IndexStartValue17=1
Suffix17= Suffix17=
@ -1464,66 +1344,18 @@ Order18=8
IndexEnabled18=0 IndexEnabled18=0
IndexStartValue18=1 IndexStartValue18=1
Suffix18= Suffix18=
UniqueIDPath19=\BPXYAMLZ\QIQMTRNL
DocumentName19=Opto_isolator_OUTPUT.SchDoc
IsEnabled19=1
SelectionScope19=All
Order19=14
IndexEnabled19=0
IndexStartValue19=1
Suffix19=
UniqueIDPath20=\JANKFIMP\WICSGKDS
DocumentName20=Opto_isolator_INPUT.SchDoc
IsEnabled20=1
SelectionScope20=All
Order20=9
IndexEnabled20=0
IndexStartValue20=1
Suffix20=
UniqueIDPath21=\JANKFIMP
DocumentName21=Connector_sensor.SchDoc
IsEnabled21=1
SelectionScope21=All
Order21=2
IndexEnabled21=0
IndexStartValue21=1
Suffix21=
UniqueIDPath22=\BPXYAMLZ
DocumentName22=Connector_out.SchDoc
IsEnabled22=1
SelectionScope22=All
Order22=1
IndexEnabled22=0
IndexStartValue22=1
Suffix22=
UniqueIDPath23=\BPXYAMLZ\EZFBFANN
DocumentName23=Opto_isolator_OUTPUT.SchDoc
IsEnabled23=1
SelectionScope23=All
Order23=27
IndexEnabled23=0
IndexStartValue23=1
Suffix23=
UniqueIDPath24=\JANKFIMP\NAIFGAPM
DocumentName24=Opto_isolator_INPUT.SchDoc
IsEnabled24=1
SelectionScope24=All
Order24=10
IndexEnabled24=0
IndexStartValue24=1
Suffix24=
[PrjClassGen] [PrjClassGen]
CompClassManualEnabled=0 CompClassManualEnabled=0
CompClassManualRoomEnabled=0 CompClassManualRoomEnabled=0
NetClassAutoBusEnabled=0 NetClassAutoBusEnabled=1
NetClassAutoCompEnabled=0 NetClassAutoCompEnabled=0
NetClassAutoNamedHarnessEnabled=0 NetClassAutoNamedHarnessEnabled=0
NetClassManualEnabled=0 NetClassManualEnabled=0
NetClassSeparateForBusSections=0 NetClassSeparateForBusSections=0
[LibraryUpdateOptions] [LibraryUpdateOptions]
SelectedOnly=1 SelectedOnly=0
UpdateVariants=1 UpdateVariants=1
UpdateToLatestRevision=1 UpdateToLatestRevision=1
PartTypes=0 PartTypes=0

@ -11,15 +11,9 @@ Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolat
Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT5|SchDesignator=Opto_isolator_INPUT5|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT5|SchDesignator=Opto_isolator_INPUT5|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT6|SchDesignator=Opto_isolator_INPUT6|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT6|SchDesignator=Opto_isolator_INPUT6|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT7|SchDesignator=Opto_isolator_INPUT7|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT7|SchDesignator=Opto_isolator_INPUT7|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_sensor.SchDoc|Designator=Opto_isolator_INPUT8|SchDesignator=Opto_isolator_INPUT8|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT1|SchDesignator=Opto_isolator_OUTPUT1|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_INPUT1|SchDesignator=Opto_isolator_INPUT1|FileName=Opto_isolator_INPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_INPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT2|SchDesignator=Opto_isolator_OUTPUT2|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT2|SchDesignator=Opto_isolator_OUTPUT2|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT2|SchDesignator=Opto_isolator_OUTPUT2|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT3|SchDesignator=Opto_isolator_OUTPUT3|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT3|SchDesignator=Opto_isolator_OUTPUT3|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT4|SchDesignator=Opto_isolator_OUTPUT4|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT4|SchDesignator=Opto_isolator_OUTPUT4|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT5|SchDesignator=Opto_isolator_OUTPUT5|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT5|SchDesignator=Opto_isolator_OUTPUT5|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT6|SchDesignator=Opto_isolator_OUTPUT6|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID= Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT6|SchDesignator=Opto_isolator_OUTPUT6|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT7|SchDesignator=Opto_isolator_OUTPUT7|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT8|SchDesignator=Opto_isolator_OUTPUT8|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT9|SchDesignator=Opto_isolator_OUTPUT9|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=Connector_out.SchDoc|Designator=Opto_isolator_OUTPUT10|SchDesignator=Opto_isolator_OUTPUT10|FileName=Opto_isolator_OUTPUT.SchDoc|SymbolType=Normal|RawFileName=Opto_isolator_OUTPUT.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=

Binary file not shown.

@ -1,181 +0,0 @@
[OutputJobFile]
Version=1.0
Caption=
Description=
VaultGUID=
ItemGUID=
ItemHRID=
RevisionGUID=
RevisionId=
VaultHRID=
AutoItemHRID=
NextRevId=
FolderGUID=
LifeCycleDefinitionGUID=
RevisionNamingSchemeGUID=
[OutputGroup1]
Name=
Description=
TargetOutputMedium=Folder Structure
VariantName=[No Variations]
VariantScope=1
CurrentConfigurationName=
TargetPrinter=HP LaserJet 100 color MFP M175 PCL6
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputMedium1=Print Job
OutputMedium1_Type=Printer
OutputMedium1_Printer=
OutputMedium1_PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputMedium2=PDF
OutputMedium2_Type=Publish
OutputMedium3=Folder Structure
OutputMedium3_Type=GeneratedFiles
OutputMedium4=Video
OutputMedium4_Type=Multimedia
OutputType1=Gerber
OutputName1=Gerber Files
OutputCategory1=Fabrication
OutputDocumentPath1=DisysCNC_PCB.PcbDoc
OutputVariantName1=
OutputEnabled1=1
OutputEnabled1_OutputMedium1=0
OutputEnabled1_OutputMedium2=0
OutputEnabled1_OutputMedium3=1
OutputEnabled1_OutputMedium4=0
OutputDefault1=0
Configuration1_Name1=OutputConfigurationParameter1
Configuration1_Item1=AddToAllLayerClasses.Set= |AddToAllPlots.Set=SerializeLayerHash.Version~2,ClassName~TLayerToBoolean|CentrePlots=False|DrillDrawingSymbol=GraphicsSymbol|DrillDrawingSymbolSize=200000|EmbeddedApertures=True|FilmBorderSize=10000000|FilmXSize=200000000|FilmYSize=160000000|FlashAllFills=False|FlashPadShapes=True|G54OnApertureChange=False|GenerateDRCRulesFile=True|GenerateDRCRulesFile=True|GenerateReliefShapes=True|GerberUnit=Metric|GerberUnit=Metric|IncludeUnconnectedMidLayerPads=False|LayerClassesMirror.Set= |LayerClassesPlot.Set= |LeadingAndTrailingZeroesMode=SuppressLeadingZeroes|MaxApertureSize=2500000|MinusApertureTolerance=39|MinusApertureTolerance=39|Mirror.Set=SerializeLayerHash.Version~2,ClassName~TLayerToBoolean|MirrorDrillDrawingPlots=False|MirrorDrillGuidePlots=False|NoRegularPolygons=False|NumberOfDecimals=4|NumberOfDecimals=4|OptimizeChangeLocationCommands=True|OptimizeChangeLocationCommands=True|OriginPosition=Relative|Panelize=False|Plot.Set=SerializeLayerHash.Version~2,ClassName~TLayerToBoolean,16973830~1,16973834~1,16777217~1,16842751~1,16973835~1,16973831~1,16973837~1|PlotPositivePlaneLayers=False|PlotUsedDrillDrawingLayerPairs=False|PlotUsedDrillGuideLayerPairs=False|PlusApertureTolerance=39|PlusApertureTolerance=39|Record=GerberView|SoftwareArcs=False|Sorted=False|Sorted=False
OutputType2=NC Drill
OutputName2=NC Drill Files
OutputCategory2=Fabrication
OutputDocumentPath2=DisysCNC_PCB.PcbDoc
OutputVariantName2=
OutputEnabled2=1
OutputEnabled2_OutputMedium1=0
OutputEnabled2_OutputMedium2=0
OutputEnabled2_OutputMedium3=2
OutputEnabled2_OutputMedium4=0
OutputDefault2=0
Configuration2_Name1=OutputConfigurationParameter1
Configuration2_Item1=BoardEdgeRoutToolDia=2000000|GenerateBoardEdgeRout=False|GenerateDrilledSlotsG85=False|GenerateEIADrillFile=False|GenerateSeparatePlatedNonPlatedFiles=False|NumberOfDecimals=4|NumberOfUnits=4|OptimizeChangeLocationCommands=True|OriginPosition=Relative|Record=DrillView|Units=Metric|ZeroesMode=SuppressTrailingZeroes
OutputType3=BOM_PartType
OutputName3=Bill of Materials
OutputCategory3=Report
OutputDocumentPath3=
OutputVariantName3=
OutputEnabled3=0
OutputEnabled3_OutputMedium1=0
OutputEnabled3_OutputMedium2=0
OutputEnabled3_OutputMedium3=0
OutputEnabled3_OutputMedium4=0
OutputDefault3=0
PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
Configuration3_Name1=ColumnNameFormat
Configuration3_Item1=CaptionAsName
Configuration3_Name2=General
Configuration3_Item2=OpenExported=False|AddToProject=False|ReportBOMViolationsInMessages=False|ForceFit=False|NotFitted=False|Database=False|DatabasePriority=False|IncludePcbData=False|IncludeVaultData=False|IncludeCloudData=False|IncludeDocumentData=True|IncludeAlternatives=False|ShowExportOptions=True|TemplateFilename=|TemplateVaultGuid=|TemplateRevisionGuid=|BatchMode=5|FormWidth=1200|FormHeight=710|SupplierProdQty=1|SupplierAutoQty=False|SupplierUseCachedPricing=False|SupplierCurrency=USD|SolutionsPerItem=1|SuppliersPerSolution=1|ViewType=1|UseDirectApi=False|BomSetName=
Configuration3_Name3=GroupOrder
Configuration3_Item3=Comment=True|Footprint=True
Configuration3_Name4=SortOrder
Configuration3_Item4=Designator=Up
Configuration3_Name5=VisibleOrder
Configuration3_Item5=Designator=129|Quantity=56|Comment=151|Description=189|Footprint=161|Supplier=100
Configuration3_Name6=VisibleOrder_Flat
Configuration3_Item6=Designator=129|Quantity=56|Comment=151|Description=189|Footprint=161|Supplier=100
[PublishSettings]
OutputFilePath2=
ReleaseManaged2=1
OutputBasePath2=Project Outputs for DisysCNC
OutputPathMedia2=
OutputPathMediaValue2=
OutputPathOutputer2=[Output Type]
OutputPathOutputerPrefix2=
OutputPathOutputerValue2=
OutputFileName2=Job1.PDF
OutputFileNameMulti2=
UseOutputNameForMulti2=1
OutputFileNameSpecial2=
OpenOutput2=1
PromptOverwrite2=1
PublishMethod2=0
ZoomLevel2=50
FitSCHPrintSizeToDoc2=1
FitPCBPrintSizeToDoc2=1
GenerateNetsInfo2=1
MarkPins2=1
MarkNetLabels2=1
MarkPortsId2=1
GenerateTOC2=1
ShowComponentParameters2=1
GlobalBookmarks2=0
PDFACompliance2=Disabled
PDFVersion2=Default
OutputFilePath3=C:\Users\iTXS\source\repos\disyscnc_pcb\Project Outputs for DisysCNC\
ReleaseManaged3=1
OutputBasePath3=Project Outputs for DisysCNC
OutputPathMedia3=
OutputPathMediaValue3=
OutputPathOutputer3=
OutputPathOutputerPrefix3=
OutputPathOutputerValue3=
OutputFileName3=
OutputFileNameMulti3=
UseOutputNameForMulti3=1
OutputFileNameSpecial3=
OpenOutput3=1
OutputFilePath4=
ReleaseManaged4=1
OutputBasePath4=Project Outputs for DisysCNC
OutputPathMedia4=
OutputPathMediaValue4=
OutputPathOutputer4=[Output Type]
OutputPathOutputerPrefix4=
OutputPathOutputerValue4=
OutputFileName4=
OutputFileNameMulti4=
UseOutputNameForMulti4=1
OutputFileNameSpecial4=
OpenOutput4=1
PromptOverwrite4=1
PublishMethod4=5
ZoomLevel4=50
FitSCHPrintSizeToDoc4=1
FitPCBPrintSizeToDoc4=1
GenerateNetsInfo4=1
MarkPins4=1
MarkNetLabels4=1
MarkPortsId4=1
MediaFormat4=Windows Media file (*.wmv,*.wma,*.asf)
FixedDimensions4=1
Width4=352
Height4=288
MultiFile4=0
FramesPerSecond4=25
FramesPerSecondDenom4=1
AviPixelFormat4=7
AviCompression4=MP42 MS-MPEG4 V2
AviQuality4=100
FFmpegVideoCodecId4=13
FFmpegPixelFormat4=0
FFmpegQuality4=80
WmvVideoCodecName4=Windows Media Video V7
WmvQuality4=80
[GeneratedFilesSettings]
RelativeOutputPath2=
OpenOutputs2=1
RelativeOutputPath3=C:\Users\iTXS\source\repos\disyscnc_pcb\Project Outputs for DisysCNC\
OpenOutputs3=1
AddToProject3=1
TimestampFolder3=0
UseOutputName3=0
OpenODBOutput3=0
OpenGerberOutput3=0
OpenNCDrillOutput3=0
OpenIPCOutput3=0
EnableReload3=0
RelativeOutputPath4=
OpenOutputs4=1

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

@ -1,8 +1,3 @@
# DisysCNC # DisysCNC
TODO: ![res](res.jpg)
1) Добавить по 0.1uF конденсатору к питанию 3.3В ОУ и к питанию 3.3в логических микросхем
2) Добавить предохранитель на главные 24В питания, на 0.5А
3) Увеличить толщину 5В шины выходящей на питание логики внешних драйверов ШД/шпинделя до 0.8мм
4) Поменять местами линейки ESTOP_LVL и UART для исправления перекрестия в разводке,
также 3 логических микросхемы передвинуть вниз к их оптопаре, во избежание перекрестий разводки

@ -5,96 +5,92 @@ File.Version=6
GPIO.groupedBy=Group By Peripherals GPIO.groupedBy=Group By Peripherals
KeepUserPlacement=false KeepUserPlacement=false
Mcu.Family=STM32F3 Mcu.Family=STM32F3
Mcu.IP0=FREERTOS Mcu.IP0=DAC1
Mcu.IP1=IWDG Mcu.IP1=FREERTOS
Mcu.IP10=TIM8 Mcu.IP10=TIM6
Mcu.IP11=TIM15 Mcu.IP11=TIM8
Mcu.IP12=TIM16 Mcu.IP12=TIM15
Mcu.IP13=TIM17 Mcu.IP13=TIM16
Mcu.IP14=TIM20 Mcu.IP14=TIM17
Mcu.IP15=USART1 Mcu.IP15=TIM20
Mcu.IP16=USB Mcu.IP16=USART1
Mcu.IP17=USB_DEVICE Mcu.IP17=USB
Mcu.IP2=NVIC Mcu.IP18=USB_DEVICE
Mcu.IP3=RCC Mcu.IP2=IWDG
Mcu.IP4=SYS Mcu.IP3=NVIC
Mcu.IP5=TIM1 Mcu.IP4=RCC
Mcu.IP6=TIM2 Mcu.IP5=SYS
Mcu.IP7=TIM3 Mcu.IP6=TIM1
Mcu.IP8=TIM4 Mcu.IP7=TIM2
Mcu.IP9=TIM6 Mcu.IP8=TIM3
Mcu.IPNb=18 Mcu.IP9=TIM4
Mcu.IPNb=19
Mcu.Name=STM32F303V(D-E)Tx Mcu.Name=STM32F303V(D-E)Tx
Mcu.Package=LQFP100 Mcu.Package=LQFP100
Mcu.Pin0=PE2 Mcu.Pin0=PE2
Mcu.Pin1=PE3 Mcu.Pin1=PE3
Mcu.Pin10=PF1-OSC_OUT Mcu.Pin10=PC1
Mcu.Pin11=PA0 Mcu.Pin11=PC2
Mcu.Pin12=PA3 Mcu.Pin12=PC3
Mcu.Pin13=PA4 Mcu.Pin13=PF2
Mcu.Pin14=PA5 Mcu.Pin14=PA0
Mcu.Pin15=PA6 Mcu.Pin15=PA1
Mcu.Pin16=PA7 Mcu.Pin16=PA2
Mcu.Pin17=PC4 Mcu.Pin17=PA3
Mcu.Pin18=PC5 Mcu.Pin18=PA4
Mcu.Pin19=PE7 Mcu.Pin19=PE7
Mcu.Pin2=PE4 Mcu.Pin2=PE4
Mcu.Pin20=PE8 Mcu.Pin20=PE10
Mcu.Pin21=PE9 Mcu.Pin21=PD10
Mcu.Pin22=PE10 Mcu.Pin22=PD11
Mcu.Pin23=PE11 Mcu.Pin23=PD12
Mcu.Pin24=PE12 Mcu.Pin24=PD13
Mcu.Pin25=PE13 Mcu.Pin25=PD14
Mcu.Pin26=PE14 Mcu.Pin26=PD15
Mcu.Pin27=PB12 Mcu.Pin27=PC6
Mcu.Pin28=PB13 Mcu.Pin28=PC7
Mcu.Pin29=PB14 Mcu.Pin29=PC8
Mcu.Pin3=PE5 Mcu.Pin3=PE5
Mcu.Pin30=PB15 Mcu.Pin30=PC9
Mcu.Pin31=PD8 Mcu.Pin31=PA9
Mcu.Pin32=PD9 Mcu.Pin32=PA10
Mcu.Pin33=PD12 Mcu.Pin33=PA11
Mcu.Pin34=PD13 Mcu.Pin34=PA12
Mcu.Pin35=PC7 Mcu.Pin35=PA13
Mcu.Pin36=PA10 Mcu.Pin36=PA14
Mcu.Pin37=PA11 Mcu.Pin37=PD4
Mcu.Pin38=PA12 Mcu.Pin38=PD5
Mcu.Pin39=PA13 Mcu.Pin39=PD6
Mcu.Pin4=PE6 Mcu.Pin4=PE6
Mcu.Pin40=PA14 Mcu.Pin40=PD7
Mcu.Pin41=PC10 Mcu.Pin41=PB3
Mcu.Pin42=PC11 Mcu.Pin42=PB4
Mcu.Pin43=PC12 Mcu.Pin43=PB5
Mcu.Pin44=PD4 Mcu.Pin44=PB6
Mcu.Pin45=PD6 Mcu.Pin45=PB7
Mcu.Pin46=PD7 Mcu.Pin46=PB8
Mcu.Pin47=PB3 Mcu.Pin47=PB9
Mcu.Pin48=PB4 Mcu.Pin48=PE0
Mcu.Pin49=PB5 Mcu.Pin49=PE1
Mcu.Pin5=PC13 Mcu.Pin5=PF9
Mcu.Pin50=PB7 Mcu.Pin50=VP_FREERTOS_VS_CMSIS_V2
Mcu.Pin51=PB8 Mcu.Pin51=VP_IWDG_VS_IWDG
Mcu.Pin52=PB9 Mcu.Pin52=VP_SYS_VS_tim7
Mcu.Pin53=PE0 Mcu.Pin53=VP_TIM1_VS_OPM
Mcu.Pin54=PE1 Mcu.Pin54=VP_TIM6_VS_ClockSourceINT
Mcu.Pin55=VP_FREERTOS_VS_CMSIS_V2 Mcu.Pin55=VP_TIM8_VS_OPM
Mcu.Pin56=VP_IWDG_VS_IWDG Mcu.Pin56=VP_TIM15_VS_OPM
Mcu.Pin57=VP_SYS_VS_tim7 Mcu.Pin57=VP_TIM16_VS_ClockSourceINT
Mcu.Pin58=VP_TIM1_VS_OPM Mcu.Pin58=VP_TIM16_VS_OPM
Mcu.Pin59=VP_TIM6_VS_ClockSourceINT Mcu.Pin59=VP_TIM17_VS_ClockSourceINT
Mcu.Pin6=PC14-OSC32_IN Mcu.Pin6=PF10
Mcu.Pin60=VP_TIM8_VS_OPM Mcu.Pin60=VP_TIM17_VS_OPM
Mcu.Pin61=VP_TIM15_VS_OPM Mcu.Pin61=VP_TIM20_VS_OPM
Mcu.Pin62=VP_TIM16_VS_ClockSourceINT Mcu.Pin62=VP_USB_DEVICE_VS_USB_DEVICE_CUSTOM_HID_FS
Mcu.Pin63=VP_TIM16_VS_OPM Mcu.Pin7=PF0-OSC_IN
Mcu.Pin64=VP_TIM17_VS_ClockSourceINT Mcu.Pin8=PF1-OSC_OUT
Mcu.Pin65=VP_TIM17_VS_OPM Mcu.Pin9=PC0
Mcu.Pin66=VP_TIM20_VS_OPM Mcu.PinsNb=63
Mcu.Pin67=VP_USB_DEVICE_VS_USB_DEVICE_CUSTOM_HID_FS
Mcu.Pin7=PC15-OSC32_OUT
Mcu.Pin8=PF9
Mcu.Pin9=PF0-OSC_IN
Mcu.PinsNb=68
Mcu.ThirdPartyNb=0 Mcu.ThirdPartyNb=0
Mcu.UserConstants= Mcu.UserConstants=
Mcu.UserName=STM32F303VDTx Mcu.UserName=STM32F303VDTx
@ -116,13 +112,16 @@ NVIC.TimeBaseIP=TIM7
NVIC.USB_LP_CAN_RX0_IRQn=true\:5\:0\:false\:false\:true\:true\:false\:true NVIC.USB_LP_CAN_RX0_IRQn=true\:5\:0\:false\:false\:true\:true\:false\:true
NVIC.UsageFault_IRQn=true\:0\:0\:false\:false\:true\:false\:false\:false NVIC.UsageFault_IRQn=true\:0\:0\:false\:false\:true\:false\:false\:false
PA0.GPIOParameters=GPIO_Label PA0.GPIOParameters=GPIO_Label
PA0.GPIO_Label=SPINDLE PA0.GPIO_Label=SPINDLE/EXT1_PWM
PA0.Locked=true PA0.Locked=true
PA0.Signal=S_TIM2_CH1_ETR PA0.Signal=S_TIM2_CH1_ETR
PA10.GPIOParameters=GPIO_Label PA1.GPIOParameters=GPIO_Label
PA10.GPIO_Label=USB_EN PA1.GPIO_Label=EXT2_PWM
PA1.Locked=true
PA1.Signal=S_TIM2_CH2
PA10.Locked=true PA10.Locked=true
PA10.Signal=GPIO_Output PA10.Mode=Asynchronous
PA10.Signal=USART1_RX
PA11.Locked=true PA11.Locked=true
PA11.Mode=Device PA11.Mode=Device
PA11.Signal=USB_DM PA11.Signal=USB_DM
@ -135,157 +134,134 @@ PA13.Signal=SYS_JTMS-SWDIO
PA14.Locked=true PA14.Locked=true
PA14.Mode=Trace_Asynchronous_SW PA14.Mode=Trace_Asynchronous_SW
PA14.Signal=SYS_JTCK-SWCLK PA14.Signal=SYS_JTCK-SWCLK
PA2.GPIOParameters=GPIO_Label
PA2.GPIO_Label=EXT3_PWM
PA2.Locked=true
PA2.Signal=S_TIM2_CH3
PA3.GPIOParameters=GPIO_Label PA3.GPIOParameters=GPIO_Label
PA3.GPIO_Label=STEP_A PA3.GPIO_Label=HEAT_BED_PWM
PA3.Locked=true PA3.Locked=true
PA3.Signal=S_TIM15_CH2 PA3.Signal=S_TIM2_CH4
PA4.GPIOParameters=GPIO_Label PA4.GPIOParameters=GPIO_Label
PA4.GPIO_Label=IN10 PA4.GPIO_Label=SPINDLE_AN_CTRL
PA4.Locked=true PA4.Locked=true
PA4.Signal=GPXTI4 PA4.Signal=COMP_DAC11_group
PA5.GPIOParameters=GPIO_Label PA9.Locked=true
PA5.GPIO_Label=IN9 PA9.Mode=Asynchronous
PA5.Locked=true PA9.Signal=USART1_TX
PA5.Signal=GPXTI5
PA6.GPIOParameters=GPIO_Label
PA6.GPIO_Label=STEP_B
PA6.Locked=true
PA6.Signal=S_TIM16_CH1
PA7.GPIOParameters=GPIO_Label
PA7.GPIO_Label=STEP_C
PA7.Locked=true
PA7.Signal=S_TIM17_CH1
PB12.GPIOParameters=GPIO_Label
PB12.GPIO_Label=LED1
PB12.Locked=true
PB12.Signal=GPIO_Output
PB13.GPIOParameters=GPIO_Label
PB13.GPIO_Label=LED2
PB13.Locked=true
PB13.Signal=GPIO_Output
PB14.GPIOParameters=GPIO_Label
PB14.GPIO_Label=OUT5
PB14.Locked=true
PB14.Signal=GPIO_Output
PB15.GPIOParameters=GPIO_Label
PB15.GPIO_Label=OUT6
PB15.Locked=true
PB15.Signal=GPIO_Output
PB3.Locked=true PB3.Locked=true
PB3.Mode=Trace_Asynchronous_SW PB3.Mode=Trace_Asynchronous_SW
PB3.Signal=SYS_JTDO-TRACESWO PB3.Signal=SYS_JTDO-TRACESWO
PB4.GPIOParameters=GPIO_Label PB4.GPIOParameters=GPIO_Label
PB4.GPIO_Label=POUT5 PB4.GPIO_Label=FAN_PWM
PB4.Locked=true PB4.Locked=true
PB4.Signal=S_TIM3_CH1 PB4.Signal=S_TIM3_CH1
PB5.GPIOParameters=GPIO_Label PB5.GPIOParameters=GPIO_Label
PB5.GPIO_Label=POUT4 PB5.GPIO_Label=HEATER1_PWM
PB5.Locked=true PB5.Locked=true
PB5.Signal=S_TIM3_CH2 PB5.Signal=S_TIM3_CH2
PB6.GPIOParameters=GPIO_Label
PB6.GPIO_Label=ENC_A
PB6.Locked=true
PB6.Signal=S_TIM4_CH1
PB7.GPIOParameters=GPIO_Label PB7.GPIOParameters=GPIO_Label
PB7.GPIO_Label=IN1 PB7.GPIO_Label=ENC_B
PB7.Locked=true PB7.Locked=true
PB7.Signal=GPXTI7 PB7.Signal=S_TIM4_CH2
PB8.GPIOParameters=GPIO_Label PB8.GPIOParameters=GPIO_Label
PB8.GPIO_Label=OUT1 PB8.GPIO_Label=STEP_EN
PB8.Locked=true PB8.Locked=true
PB8.Signal=GPIO_Output PB8.Signal=GPIO_Output
PB9.GPIOParameters=GPIO_Label PB9.GPIOParameters=GPIO_Label
PB9.GPIO_Label=OUT2 PB9.GPIO_Label=STEP_Y
PB9.Locked=true PB9.Locked=true
PB9.Signal=GPIO_Output PB9.Signal=S_TIM8_CH3
PC10.GPIOParameters=GPIO_Label PC0.GPIOParameters=GPIO_Label
PC10.GPIO_Label=IN3 PC0.GPIO_Label=STEP_X
PC10.Locked=true PC0.Locked=true
PC10.Signal=GPXTI10 PC0.Signal=S_TIM1_CH1
PC11.GPIOParameters=GPIO_Label PC1.GPIOParameters=GPIO_Label
PC11.GPIO_Label=IN4 PC1.GPIO_Label=DIR_X
PC11.Locked=true PC1.Locked=true
PC11.Signal=GPXTI11 PC1.Signal=GPIO_Output
PC12.GPIOParameters=GPIO_Label PC2.GPIOParameters=GPIO_Label
PC12.GPIO_Label=IN5 PC2.GPIO_Label=SPINDLE_EN
PC12.Locked=true PC2.Locked=true
PC12.Signal=GPXTI12 PC2.Signal=GPIO_Output
PC13.GPIOParameters=GPIO_Label PC3.GPIOParameters=GPIO_Label
PC13.GPIO_Label=IN6 PC3.GPIO_Label=SPINDLE_nDIR
PC13.Locked=true PC3.Locked=true
PC13.Signal=GPXTI13 PC3.Signal=GPIO_Output
PC14-OSC32_IN.GPIOParameters=GPIO_Label PC6.GPIOParameters=GPIO_Label
PC14-OSC32_IN.GPIO_Label=IN7 PC6.GPIO_Label=PROBE
PC14-OSC32_IN.Locked=true PC6.Locked=true
PC14-OSC32_IN.Signal=GPXTI14 PC6.Signal=GPXTI6
PC15-OSC32_OUT.GPIOParameters=GPIO_Label
PC15-OSC32_OUT.GPIO_Label=IN8
PC15-OSC32_OUT.Locked=true
PC15-OSC32_OUT.Signal=GPXTI15
PC4.Locked=true
PC4.Mode=Asynchronous
PC4.Signal=USART1_TX
PC5.Locked=true
PC5.Mode=Asynchronous
PC5.Signal=USART1_RX
PC7.GPIOParameters=GPIO_Label PC7.GPIOParameters=GPIO_Label
PC7.GPIO_Label=STEP_Y PC7.GPIO_Label=SAFETY_DOOR
PC7.Locked=true PC7.Locked=true
PC7.Signal=S_TIM8_CH2 PC7.Signal=GPXTI7
PC8.GPIOParameters=GPIO_Label
PC8.GPIO_Label=BT_STOP
PC8.Locked=true
PC8.Signal=GPXTI8
PC9.GPIOParameters=GPIO_Label
PC9.GPIO_Label=BT_HOLD
PC9.Locked=true
PC9.Signal=GPXTI9
PD10.GPIOParameters=GPIO_Label
PD10.GPIO_Label=ENDSTOP_C
PD10.Locked=true
PD10.Signal=GPXTI10
PD11.GPIOParameters=GPIO_Label
PD11.GPIO_Label=ENDSTOP_B
PD11.Locked=true
PD11.Signal=GPXTI11
PD12.GPIOParameters=GPIO_Label PD12.GPIOParameters=GPIO_Label
PD12.GPIO_Label=ENC_A PD12.GPIO_Label=ENDSTOP_A
PD12.Locked=true PD12.Locked=true
PD12.Signal=S_TIM4_CH1 PD12.Signal=GPXTI12
PD13.GPIOParameters=GPIO_Label PD13.GPIOParameters=GPIO_Label
PD13.GPIO_Label=ENC_B PD13.GPIO_Label=ENDSTOP_Z
PD13.Locked=true PD13.Locked=true
PD13.Signal=S_TIM4_CH2 PD13.Signal=GPXTI13
PD14.GPIOParameters=GPIO_Label
PD14.GPIO_Label=ENDSTOP_Y
PD14.Locked=true
PD14.Signal=GPXTI14
PD15.GPIOParameters=GPIO_Label
PD15.GPIO_Label=ENDSTOP_X
PD15.Locked=true
PD15.Signal=GPXTI15
PD4.GPIOParameters=GPIO_Label PD4.GPIOParameters=GPIO_Label
PD4.GPIO_Label=POUT1 PD4.GPIO_Label=DIR_B
PD4.Locked=true PD4.Locked=true
PD4.Signal=S_TIM2_CH2 PD4.Signal=GPIO_Output
PD5.GPIOParameters=GPIO_Label
PD5.GPIO_Label=DIR_Y
PD5.Locked=true
PD5.Signal=GPIO_Output
PD6.GPIOParameters=GPIO_Label PD6.GPIOParameters=GPIO_Label
PD6.GPIO_Label=POUT3 PD6.GPIO_Label=COOLANT_FLOOD_CTRL
PD6.Locked=true PD6.Locked=true
PD6.Signal=S_TIM2_CH4 PD6.Signal=GPIO_Output
PD7.GPIOParameters=GPIO_Label PD7.GPIOParameters=GPIO_Label
PD7.GPIO_Label=POUT2 PD7.GPIO_Label=COOLANT_MIST_CTRL
PD7.Locked=true PD7.Locked=true
PD7.Signal=S_TIM2_CH3 PD7.Signal=GPIO_Output
PD8.GPIOParameters=GPIO_Label
PD8.GPIO_Label=OUT7
PD8.Locked=true
PD8.Signal=GPIO_Output
PD9.GPIOParameters=GPIO_Label
PD9.GPIO_Label=OUT8
PD9.Locked=true
PD9.Signal=GPIO_Output
PE0.GPIOParameters=GPIO_Label PE0.GPIOParameters=GPIO_Label
PE0.GPIO_Label=OUT3 PE0.GPIO_Label=STEP_A
PE0.Locked=true PE0.Locked=true
PE0.Signal=GPIO_Output PE0.Signal=S_TIM16_CH1
PE1.GPIOParameters=GPIO_Label PE1.GPIOParameters=GPIO_Label
PE1.GPIO_Label=OUT4 PE1.GPIO_Label=STEP_B
PE1.Locked=true PE1.Locked=true
PE1.Signal=GPIO_Output PE1.Signal=S_TIM17_CH1
PE10.GPIOParameters=GPIO_Label PE10.GPIOParameters=GPIO_Label
PE10.GPIO_Label=DIR_A PE10.GPIO_Label=LED2
PE10.Locked=true PE10.Locked=true
PE10.Signal=GPIO_Output PE10.Signal=GPIO_Output
PE11.GPIOParameters=GPIO_Label
PE11.GPIO_Label=DIR_Z
PE11.Locked=true
PE11.Signal=GPIO_Output
PE12.GPIOParameters=GPIO_Label
PE12.GPIO_Label=DIR_Y
PE12.Locked=true
PE12.Signal=GPIO_Output
PE13.GPIOParameters=GPIO_Label
PE13.GPIO_Label=DIR_X
PE13.Locked=true
PE13.Signal=GPIO_Output
PE14.GPIOParameters=GPIO_Label
PE14.GPIO_Label=STEP_X
PE14.Locked=true
PE14.Signal=S_TIM1_CH4
PE2.GPIOParameters=GPIO_Label PE2.GPIOParameters=GPIO_Label
PE2.GPIO_Label=STEP_Z PE2.GPIO_Label=STEP_C
PE2.Locked=true PE2.Locked=true
PE2.Mode=PWM Generation1 CH1 PE2.Mode=PWM Generation1 CH1
PE2.Signal=TIM20_CH1 PE2.Signal=TIM20_CH1
@ -294,40 +270,40 @@ PE3.GPIO_Label=DIR_C
PE3.Locked=true PE3.Locked=true
PE3.Signal=GPIO_Output PE3.Signal=GPIO_Output
PE4.GPIOParameters=GPIO_Label PE4.GPIOParameters=GPIO_Label
PE4.GPIO_Label=POUT7 PE4.GPIO_Label=HEATER2_PWM
PE4.Locked=true PE4.Locked=true
PE4.Signal=S_TIM3_CH3 PE4.Signal=S_TIM3_CH3
PE5.GPIOParameters=GPIO_Label PE5.GPIOParameters=GPIO_Label
PE5.GPIO_Label=POUT6 PE5.GPIO_Label=HEATER3_PWM
PE5.Locked=true PE5.Locked=true
PE5.Signal=S_TIM3_CH4 PE5.Signal=S_TIM3_CH4
PE6.GPIOParameters=GPIO_Label PE6.GPIOParameters=GPIO_Label
PE6.GPIO_Label=ESTOP PE6.GPIO_Label=DIR_A
PE6.Locked=true PE6.Locked=true
PE6.Signal=GPXTI6 PE6.Signal=GPIO_Output
PE7.GPIOParameters=GPIO_Label PE7.GPIOParameters=GPIO_Label
PE7.GPIO_Label=SPIN_EN PE7.GPIO_Label=LED1
PE7.Locked=true PE7.Locked=true
PE7.Signal=GPIO_Output PE7.Signal=GPIO_Output
PE8.GPIOParameters=GPIO_Label
PE8.GPIO_Label=STEP_EN
PE8.Locked=true
PE8.Signal=GPIO_Output
PE9.GPIOParameters=GPIO_Label
PE9.GPIO_Label=DIR_B
PE9.Locked=true
PE9.Signal=GPIO_Output
PF0-OSC_IN.Locked=true PF0-OSC_IN.Locked=true
PF0-OSC_IN.Mode=HSE-External-Oscillator PF0-OSC_IN.Mode=HSE-External-Oscillator
PF0-OSC_IN.Signal=RCC_OSC_IN PF0-OSC_IN.Signal=RCC_OSC_IN
PF1-OSC_OUT.Locked=true PF1-OSC_OUT.Locked=true
PF1-OSC_OUT.Mode=HSE-External-Oscillator PF1-OSC_OUT.Mode=HSE-External-Oscillator
PF1-OSC_OUT.Signal=RCC_OSC_OUT PF1-OSC_OUT.Signal=RCC_OSC_OUT
PF10.GPIOParameters=GPIO_Label
PF10.GPIO_Label=DIR_Z
PF10.Locked=true
PF10.Signal=GPIO_Output
PF2.GPIOParameters=GPIO_Label
PF2.GPIO_Label=SPINDLE_DIR
PF2.Locked=true
PF2.Signal=GPIO_Output
PF9.GPIOParameters=GPIO_Label PF9.GPIOParameters=GPIO_Label
PF9.GPIO_Label=IN2 PF9.GPIO_Label=STEP_Z
PF9.Locked=true PF9.Locked=true
PF9.Signal=GPXTI9 PF9.Signal=S_TIM15_CH1
PinOutPanel.RotationAngle=180 PinOutPanel.RotationAngle=0
ProjectManager.AskForMigrate=true ProjectManager.AskForMigrate=true
ProjectManager.BackupPrevious=false ProjectManager.BackupPrevious=false
ProjectManager.CompilerOptimize=6 ProjectManager.CompilerOptimize=6
@ -348,8 +324,8 @@ ProjectManager.MainLocation=Core/Src
ProjectManager.NoMain=false ProjectManager.NoMain=false
ProjectManager.PreviousToolchain= ProjectManager.PreviousToolchain=
ProjectManager.ProjectBuild=false ProjectManager.ProjectBuild=false
ProjectManager.ProjectFileName=Controller.ioc ProjectManager.ProjectFileName=cnc.ioc
ProjectManager.ProjectName=Controller ProjectManager.ProjectName=cnc
ProjectManager.StackSize=0x600 ProjectManager.StackSize=0x600
ProjectManager.TargetToolchain=Makefile ProjectManager.TargetToolchain=Makefile
ProjectManager.ToolChainLocation= ProjectManager.ToolChainLocation=
@ -401,6 +377,8 @@ RCC.USART2Freq_Value=36000000
RCC.USART3Freq_Value=36000000 RCC.USART3Freq_Value=36000000
RCC.USBFreq_Value=48000000 RCC.USBFreq_Value=48000000
RCC.VCOOutput2Freq_Value=8000000 RCC.VCOOutput2Freq_Value=8000000
SH.COMP_DAC11_group.0=DAC1_OUT1,DAC_OUT1
SH.COMP_DAC11_group.ConfNb=1
SH.GPXTI10.0=GPIO_EXTI10 SH.GPXTI10.0=GPIO_EXTI10
SH.GPXTI10.ConfNb=1 SH.GPXTI10.ConfNb=1
SH.GPXTI11.0=GPIO_EXTI11 SH.GPXTI11.0=GPIO_EXTI11
@ -413,24 +391,22 @@ SH.GPXTI14.0=GPIO_EXTI14
SH.GPXTI14.ConfNb=1 SH.GPXTI14.ConfNb=1
SH.GPXTI15.0=GPIO_EXTI15 SH.GPXTI15.0=GPIO_EXTI15
SH.GPXTI15.ConfNb=1 SH.GPXTI15.ConfNb=1
SH.GPXTI4.0=GPIO_EXTI4
SH.GPXTI4.ConfNb=1
SH.GPXTI5.0=GPIO_EXTI5
SH.GPXTI5.ConfNb=1
SH.GPXTI6.0=GPIO_EXTI6 SH.GPXTI6.0=GPIO_EXTI6
SH.GPXTI6.ConfNb=1 SH.GPXTI6.ConfNb=1
SH.GPXTI7.0=GPIO_EXTI7 SH.GPXTI7.0=GPIO_EXTI7
SH.GPXTI7.ConfNb=1 SH.GPXTI7.ConfNb=1
SH.GPXTI8.0=GPIO_EXTI8
SH.GPXTI8.ConfNb=1
SH.GPXTI9.0=GPIO_EXTI9 SH.GPXTI9.0=GPIO_EXTI9
SH.GPXTI9.ConfNb=1 SH.GPXTI9.ConfNb=1
SH.S_TIM15_CH2.0=TIM15_CH2,PWM Generation2 CH2 SH.S_TIM15_CH1.0=TIM15_CH1,PWM Generation1 CH1
SH.S_TIM15_CH2.ConfNb=1 SH.S_TIM15_CH1.ConfNb=1
SH.S_TIM16_CH1.0=TIM16_CH1,PWM Generation1 CH1 SH.S_TIM16_CH1.0=TIM16_CH1,PWM Generation1 CH1
SH.S_TIM16_CH1.ConfNb=1 SH.S_TIM16_CH1.ConfNb=1
SH.S_TIM17_CH1.0=TIM17_CH1,PWM Generation1 CH1 SH.S_TIM17_CH1.0=TIM17_CH1,PWM Generation1 CH1
SH.S_TIM17_CH1.ConfNb=1 SH.S_TIM17_CH1.ConfNb=1
SH.S_TIM1_CH4.0=TIM1_CH4,PWM Generation4 CH4 SH.S_TIM1_CH1.0=TIM1_CH1,PWM Generation1 CH1
SH.S_TIM1_CH4.ConfNb=1 SH.S_TIM1_CH1.ConfNb=1
SH.S_TIM2_CH1_ETR.0=TIM2_CH1,PWM Generation1 CH1 SH.S_TIM2_CH1_ETR.0=TIM2_CH1,PWM Generation1 CH1
SH.S_TIM2_CH1_ETR.ConfNb=1 SH.S_TIM2_CH1_ETR.ConfNb=1
SH.S_TIM2_CH2.0=TIM2_CH2,PWM Generation2 CH2 SH.S_TIM2_CH2.0=TIM2_CH2,PWM Generation2 CH2
@ -451,16 +427,17 @@ SH.S_TIM4_CH1.0=TIM4_CH1,Encoder_Interface
SH.S_TIM4_CH1.ConfNb=1 SH.S_TIM4_CH1.ConfNb=1
SH.S_TIM4_CH2.0=TIM4_CH2,Encoder_Interface SH.S_TIM4_CH2.0=TIM4_CH2,Encoder_Interface
SH.S_TIM4_CH2.ConfNb=1 SH.S_TIM4_CH2.ConfNb=1
SH.S_TIM8_CH2.0=TIM8_CH2,PWM Generation2 CH2 SH.S_TIM8_CH3.0=TIM8_CH3,PWM Generation3 CH3
SH.S_TIM8_CH2.ConfNb=1 SH.S_TIM8_CH3.ConfNb=1
TIM1.Channel-PWM\ Generation4\ CH4=TIM_CHANNEL_4 TIM1.Channel-PWM\ Generation1\ CH1=TIM_CHANNEL_1
TIM1.CounterMode=TIM_COUNTERMODE_DOWN TIM1.CounterMode=TIM_COUNTERMODE_DOWN
TIM1.IPParameters=Prescaler,Period,RepetitionCounter,CounterMode,Channel-PWM Generation4 CH4 TIM1.IPParameters=Channel-PWM Generation1 CH1,Prescaler,Period,RepetitionCounter,CounterMode,Pulse-PWM Generation1 CH1
TIM1.Period=9999 TIM1.Period=9999
TIM1.Prescaler=99 TIM1.Prescaler=99
TIM1.Pulse-PWM\ Generation1\ CH1=3999
TIM1.RepetitionCounter=10 TIM1.RepetitionCounter=10
TIM15.Channel-PWM\ Generation2\ CH2=TIM_CHANNEL_2 TIM15.Channel-PWM\ Generation1\ CH1=TIM_CHANNEL_1
TIM15.IPParameters=Prescaler,Period,RepetitionCounter,Channel-PWM Generation2 CH2 TIM15.IPParameters=Channel-PWM Generation1 CH1,Prescaler,Period,RepetitionCounter
TIM15.Period=9999 TIM15.Period=9999
TIM15.Prescaler=99 TIM15.Prescaler=99
TIM15.RepetitionCounter=10 TIM15.RepetitionCounter=10
@ -480,7 +457,7 @@ TIM2.Channel-PWM\ Generation1\ CH1=TIM_CHANNEL_1
TIM2.Channel-PWM\ Generation2\ CH2=TIM_CHANNEL_2 TIM2.Channel-PWM\ Generation2\ CH2=TIM_CHANNEL_2
TIM2.Channel-PWM\ Generation3\ CH3=TIM_CHANNEL_3 TIM2.Channel-PWM\ Generation3\ CH3=TIM_CHANNEL_3
TIM2.Channel-PWM\ Generation4\ CH4=TIM_CHANNEL_4 TIM2.Channel-PWM\ Generation4\ CH4=TIM_CHANNEL_4
TIM2.IPParameters=Channel-PWM Generation2 CH2,Channel-PWM Generation4 CH4,Channel-PWM Generation1 CH1,Prescaler,Period,Channel-PWM Generation3 CH3 TIM2.IPParameters=Channel-PWM Generation2 CH2,Channel-PWM Generation3 CH3,Channel-PWM Generation4 CH4,Channel-PWM Generation1 CH1,Prescaler,Period
TIM2.Period=9999 TIM2.Period=9999
TIM2.Prescaler=99 TIM2.Prescaler=99
TIM20.Channel-PWM\ Generation1\ CH1=TIM_CHANNEL_1 TIM20.Channel-PWM\ Generation1\ CH1=TIM_CHANNEL_1
@ -503,11 +480,12 @@ TIM4.Prescaler=99
TIM6.IPParameters=Prescaler,Period TIM6.IPParameters=Prescaler,Period
TIM6.Period=999 TIM6.Period=999
TIM6.Prescaler=7199 TIM6.Prescaler=7199
TIM8.Channel-PWM\ Generation2\ CH2=TIM_CHANNEL_2 TIM8.Channel-PWM\ Generation3\ CH3=TIM_CHANNEL_3
TIM8.CounterMode=TIM_COUNTERMODE_DOWN TIM8.CounterMode=TIM_COUNTERMODE_DOWN
TIM8.IPParameters=Prescaler,Period,RepetitionCounter,CounterMode,Channel-PWM Generation2 CH2 TIM8.IPParameters=Channel-PWM Generation3 CH3,Prescaler,Period,RepetitionCounter,CounterMode,Pulse-PWM Generation3 CH3
TIM8.Period=9999 TIM8.Period=9999
TIM8.Prescaler=99 TIM8.Prescaler=99
TIM8.Pulse-PWM\ Generation3\ CH3=3999
TIM8.RepetitionCounter=10 TIM8.RepetitionCounter=10
USART1.BaudRate=115200 USART1.BaudRate=115200
USART1.IPParameters=VirtualMode-Asynchronous,BaudRate USART1.IPParameters=VirtualMode-Asynchronous,BaudRate

Binary file not shown.

After

Width:  |  Height:  |  Size: 130 KiB

Loading…
Cancel
Save